Verilog HDL——常用建模方式小结

常用建模方式
  • 门级建模:门电路描述
  • 数据流建模:assign语句
  • 行为级建模:always结构
示例

构建一个2线输入,4线输出电路;真值表如下:

{A1,A0}Y
0 00001
0 10010
1 00100
1 11000
门级建模
module _2to4decodeer(
		input A1,
		input A0,
		output[3:0] Y);
		
		wire A0_n,A1_n;//节点信号
		not n1(A1_n, A1);
		not n2(A0_n, A0);
		
		and n3(Y[0],A1_n,A0_n);
		and n4(Y[1],A1_n,A0);
		and n5(Y[2],A1,A0_n);
		and n6(Y[3],A1,A0);
		
endmodule

为A0、A1添加适当的输入信号,观察输出结果:
在这里插入图片描述

数据流建模
module _2to4decodeer(
		input A1,
		input A0,
		output[3:0] Y);
		
		assign Y[0] = ~A1&~A0;
		assign Y[1] = ~A1&A0;
		assign Y[2] = A1&~A0;
		assign Y[3] = A1&A0;
		
endmodule
行为级建模
module _2to4decodeer(A1, A0, Y);		
		input A1;
		input A0;
		output[3:0] Y;
		reg [3:0] Y;
		always @(A0 or A1)
		begin 
			 Y = {A1&A0,A1&~A0,~A1&A0,~A1&~A0};
		end
endmodule

为A0、A1添加适当的输入信号,观察输出结果:
在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值