verdi\debussy的使用技巧

本文介绍了在verdi和debussy中使用fsdb文件显示波形的技巧,包括如何在ModelSim中生成.fsdb文件,nWave工具的操作如信号位置调整、颜色设置和时间线管理。此外,详细讲解了nTrace与nWave的交互,如源代码与波形的联动定位,以及状态机显示的优化方法,帮助提升FPGA设计的调试效率。
摘要由CSDN通过智能技术生成

verdi\debussy的使用技巧

转载from 大西瓜FPGA

大西瓜FPGA-->https://daxiguafpga.taobao.com

fsdb display

Debussy本身不含模拟器(simulator),必须呼叫外部模拟器(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的单元"nWave"透过读取FSDB file,才能显示波形或讯号值的变化。

如何在modelsim里面产生.fsdb文件呢?可以在testbench文件里面输入如下代码:

initial begin

$fsdbDumpfile("Debussy.fsdb");//文件名称可以自行设定

$fsdbDumpvars;

end

nWave工具的使用

有几个操作知识点:

1、改变信号位置,可以通过按住鼠标中键(即滚轮)进行移动。

2、如果想改变某个信号的颜色显示,可以在左边选中该信号,然后在菜单栏中WaveForm-> Color/Pattern进行选择。

3、右边波形窗口中有两条线,分别是黄线代表光标时间线(鼠标左键来点击),白色代表标记时间线(鼠标右键来点击),两者的数值在工

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值