[Verilog语言入门教程] 免费开源的 verilog 仿真工具:icarus verilog

前言

知名的Verilog仿真工具主要为三大主流的产品:mentor的modelsim/questasim,candence的NC-verilog,synopsys的VCS。但都不是免费的,所以我一个都不讲。

作为verilog入门学习的仿真工具,有时候只是想调试一个简单的module,怎么做到一个子都不花呢?我帮你找了很久,介绍一款超级轻量的开源verilog仿真工具— icarus verilog。

1. 下载

官网下载链接:http://bleyer.org/icarus/

2. 安装

安装参考: https://zhuanlan.zhihu.com/p/436976157

安装时候, 如果没勾选创建桌面快捷方式,在桌面不显示, 这不打紧, 因为这个不是可视化操作工具, 是在命令行执行的。

特别注意的是, 只是安装完是使用不了的, 一定要手动添加环境变量

环境变量
1.右击开始按钮,点击“系统”
2.选择“高级系统设置”
3.把安装路径下的 bin 所在路径加到系统环境变量。
在这里插入图片描述
在这里插入图片描述

  • 10
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值