自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(13)
  • 收藏
  • 关注

原创 [Verilog] 移位器

在数字电路中,移位器是一种常用的电路组件,用于实现数字信号的移位操作。它可以将一个数据在寄存器中向左或向右移动指定的位数。移位操作在数字电路中经常用于数据的处理、编码和解码等应用。

2024-01-12 23:43:43 1020 1

原创 [Verilog] 除法器

除法器种类与实现。

2024-01-11 23:48:25 1463

原创 [Verilog语言入门教程] Verilog 简介

Verilog HDL(简称 Verilog )是一种硬件描述语言,用于数字电路的系统设计。可对算法级、门级、开关级等多种抽象设计层次进行建模。Verilog 继承了 C 语言的多种操作符和结构,与另一种硬件描述语言 VHDL 相比,语法不是很严格,代码更加简洁,更容易上手。Verilog 不仅定义了语法,还对语法结构都定义了清晰的仿真语义。因此,Verilog 编写的数字模型就能够使用 Verilog 仿真器进行验证。

2024-01-11 22:26:13 883

原创 [Verilog语言入门教程] 设计方法和设计流程

Verilog设计方法和设计流程是一个迭代的过程,需要不断地分析、设计、验证和优化,最终得到满足需求的设计方案。在设计过程中,需要充分考虑电路结构、逻辑实现、时序要求等因素,以及合理利用Verilog语言和EDA工具来辅助设计。参考文献声明本文仅为学习交流目的。文中部分文字和图片来源于互联网,列在参考的文献,但可能有遗漏。如有侵权,请告知我删除。

2024-01-11 22:25:19 795

原创 [Verilog语言入门教程] Verilog 数值表示

Verilog中,可以使用多种方式表示数值。

2024-01-11 22:24:28 1894

原创 [Verilog语言入门教程] Verilog 操作符与表达式

图片来源: https://www.runoob.com/算术操作符:加法(+)、减法(-)、乘法(*)、除法(/)、取模(%)、自增(++)、自减(–)等。逻辑操作符:与(&)、或(|)、非(!)、异或()、与非(~&)、或非(~|)、异或非(~)等。位操作操作符:按位与(&)、按位或(|)、按位异或(^)、按位非(~)、左移(<<)、右移(>>)等。关系操作符:等于(==)、不等于(!=)、大于(>)、小于(<)、大于等于(>=)、小于等于(<=)等。条件操作符:三目运算符(?😃。赋值操作符。

2024-01-11 22:23:26 892

原创 Verilog语言入门教程 —— 总目录

加法器(半加法器 | 全加法器 | 串行加法器 | 并行加法器 | 十进制加法器。免费开源的 verilog 仿真工具:icarus verilog。减法器 (半减器, 全减器, 加减共用)[Verilog 操作符与表达式。Verilog 基本格式和语法。[Verilog 数值表示。Verilog 数据类型。Verilog 简介]设计方法和设计流程]Viterbi解码器。

2024-01-11 22:22:12 374

原创 [Verilog语言入门教程] Verilog 减法器 (半减器, 全减器, 加减器共用)

依公知及经验整理,原创保护,禁止转载。“逻辑设计是一门艺术,它需要创造力和想象力。” - 马克·张伯伦(Mark Zwolinski)减法器是数字电路中常见的组件,用于减去两个二进制数的和。

2024-01-11 22:19:52 2566

原创 [Verilog] 加法器(半加法器 | 全加法器 | 串行加法器 | 并行加法器 | 十进制加法器)详解

在数字电路中,加法器是一种常见的逻辑电路,用于将两个数字相加。本文将介绍如何使用Verilog实现各种加法器。

2024-01-11 22:18:13 3428 1

原创 [Verilog语言入门教程] 乘法器(顺序 Booth & 并行 Wallace) 原理与实现

:顺序乘法器是最简单的乘法器类型,采用逐位相乘的方法实现。这种乘法器适用于小规模的乘法运算,但速度较慢。(1)Booth编码乘法器):并行乘法器是采用并行计算的方法实现乘法运算,可以同时计算多个位的乘积。这种乘法器速度较快,适用于大规模的乘法运算。(1)Wallace树乘法器():(2)Wallace树带预编码的乘法器**(

2024-01-11 22:16:48 1905

原创 [Verilog语言入门教程] Verilog 基本格式和语法

全文 3000 字。

2024-01-11 22:15:30 920

原创 Verilog 入门简明教程

Verilog 入门简明教程

2024-01-11 22:09:41 930

原创 [Verilog语言入门教程] 免费开源的 verilog 仿真工具:icarus verilog

知名的Verilog仿真工具主要为三大主流的产品:mentor的modelsim/questasim,candence的NC-verilog,synopsys的VCS。但都不是免费的,所以我一个都不讲。作为verilog入门学习的仿真工具,有时候只是想调试一个简单的module,怎么做到一个子都不花呢?我帮你找了很久,介绍一款超级轻量的开源verilog仿真工具— icarus verilog。声明本文仅为学习交流目的。文中部分文字和图片来源于互联网,列在参考的文献,但可能有遗漏。

2024-01-11 22:05:02 801

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除