阻塞赋值与非阻塞赋值

  阻塞赋值“=”语句是顺序执行,非阻塞赋值“《=”语句是并行(同时)执行。比如对于下面这段代码: 

module test(a,b,c,clk);

input clk;
input a;
output b,c;

reg b,c;


always@(posedge clk)
begin
b = a; //b <= a;
c = b;//c <= b;

end

endmodule

对于阻塞语句,若开始b=0,a=1,clk上升沿后,b=1,c=1;    对于非阻塞语句,若开始b=0,a=1,clk上升沿后,b=1,c=0。

仿真结果若下:

总结:

  1.同一个块程序中:阻塞赋值语句是顺序执行的;非阻塞赋值语句是并行执行的。 

  2. 在组合逻辑建模中应使用阻塞赋值;在时序逻辑建模中应使用非阻塞赋值。 

  3. 无论是阻塞赋值语句还是非阻塞赋值语句,若在该语句之前对其值进行应用,则只能引用其上一个时钟周期赋于的旧值

转载于:https://www.cnblogs.com/Sagoo/p/3186516.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值