FPGA_四选一数据选择器

  四选一数据选择器真值表:

      

Verilog代码:

  

module mux4(en,d0,d1,d2,d3,a,y);

input en,d0,d1,d2,d3;
input[1:0] a;
output y;

reg y;

always@(d0,d1,d2,d3,a,en)
begin
if(en==1'b0)
begin
y <= 1'b0;
end
else
case(a)
2'b00: y<=d0;
2'b01: y<=d1;
2'b10: y<=d2;
2'b11: y<=d3;
default: y<=1'b0;
endcase
end

endmodule

仿真结果:

转载于:https://www.cnblogs.com/Sagoo/p/3214826.html

  • 1
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值