3、VHDL的STD_LOGIC_1164包常见数据类型转换

本文对常见的函数进行描述,其程序通过Quartus18.0进行编译,在编译to_stdlogic编译报错,在Quartus18.0安装目录E:\Quartus18\quartus\libraries\vhdl\ieee\2008\下STD_LOGIC_1164包下没有发现函数to_stdlogic(A),因此本文只对to_stdulogic进行编译。具体函数可以查看std_logic_1164不同版本的包,下图是std_logic_1164_vhdl2008.vhd中的数据类型转换函数。

622ff2793c134eb68f4fb5774b17f16c.png

图1、具体转换函数

函数功能描述
to_bit(A)将std_logic的数据A转换成bit类型
to_stdulogic(A)  将bit的数据A转换成std_ulogic类型
to_bitvector(A)将std_logic_vector的数据A转换成bit_vector类型
to_stdlogicvector(A)将bit_vector、std_ulogic_vector的数据A转换成std_logic_vector类型

                                                                                            图2、部分函数功能描述

(1)、to_bit(A)

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.STD_LOGIC_UNSIGNED.all;
USE IEEE.STD_LOGIC_ARITH.all;


ENTITY data IS
    PORT(
       a : IN  STD_LOGIC;
       b : IN  STD_LOGIC;
       c : OUT BIT 
     );

END ENTITY;

ARCHITECTURE rtl OF data IS
BEGIN
   --a异或b
    c <= TO_BIT(a XOR b);

END rtl;

(2)、to_stdulogic(A)

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;


ENTITY data IS
    PORT(
       a : IN  BIT;
       b : IN  BIT;
       c : OUT STD_ULOGIC 
     );

END ENTITY;

ARCHITECTURE rtl OF data IS
BEGIN
   --a异或b
    c <= TO_STDULOGIC(a XOR b);

END rtl;


(3)to_bitvector(A)

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;


ENTITY data IS
    PORT(
       a : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
       b : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
       c : OUT BIT_VECTOR(7 DOWNTO 0); 
       d : OUT BIT_VECTOR(7 DOWNTO 0) 
     );

END ENTITY;

ARCHITECTURE rtl OF data IS
BEGIN
   --a异或b
    c <= TO_BITVECTOR(a XOR b);
    d <= TO_BITVECTOR(a + b);

END rtl;

(4)to_stdlogicvector(A)

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;


ENTITY data IS
    PORT(
       a : IN  BIT_VECTOR(7 DOWNTO 0);
       b : IN  BIT_VECTOR(7 DOWNTO 0);
       c : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); 
      
     );

END ENTITY;

ARCHITECTURE rtl OF data IS
BEGIN
   --a异或b
    c <= TO_STDLOGICVECTOR(a XOR b);
END rtl;

参考:本文参考Quartus软件中的std_logic_1164_vhdl2008.vhd完成。

备注:本文编译采用GVIM进行完成。

 

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

FPGA一只小白

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值