基于 VITA57.4 标准的 8 路 500MSPS/1GSPS/1.25GSPS 采样率 14 位 AD 采集 FMC 子卡模块

板卡概述
FMC148 是一款基于 VITA57.4 标准的 JESD204B 接口 FMC 子卡模块,该模块可以实现 8 路 14-bit、500MSPS/1GSPS/1.25GSPS ADC 采集功能。该板卡 ADC 器件采用 ADI 公司的 AD9680 芯片,全 功率-3dB 模拟输入带宽可达 2GHz。该 ADC 与 FPGA 的主机接口通 过 16 通道的高速串行 GTX 收发器进行互联。 该板卡主要面向通信与无线基础设施、雷达、宽频带通信、毫米 波通信、自动测试设备等应用。
软件支持
可选集成板级软件开发包(BSP):
支持 Xilinx 开发板;
支持 Kintex UltraScale 等;
可根据需求提供定制化算法与系统集成:
应用范围
雷达与智能天线、无线基础设施;
宽带 RF 信号处理,信号发生器;
通信测试设备;
自动驾驶 RADAR;

 

技术指标
ADC 性能指标(AD9680):
板卡支持 4 片 ADC,共 8 路模拟信号采集;
采样率:500MSPS/1GSPS/1.25GSPS 可选配;
采集分辨率:14 位;
JESD204B(子类 1)编码数字输出;
1.65W 总功耗(1GSPS 采样率);
SNR; 65.3dBFS@1GHz,-1dBFS  amplitude
SFDR: 85dBFS@1GHz,-1dBFS amplitude
ENOB;10.8BIT(10MHz)
DNL:±0.5LSB,INL=±2.5LSB;
直流供电:1.25V、2.5V,3.3V;
噪声密度:-154dBFS/Hz;
无失码;
2GHz 模拟输入全功率带宽(-3dB);
ADC 内部基准电压源;
混合 JESD204B Lane 配置;
时钟与触发
高性能时钟发生器:HMC7044;
支持 100MHz LVDS 晶振,支持外时钟输入;
支持 1 路输入/输出触发信号,LVTTL(3.3V)电平标准;
支持同步输入/输出;
物理与电气特征
板卡尺寸:84.1 x 69mm;
典型功耗:6W;供电:+12V;
散热方式:自然风冷散热;
环境特征
工作温度:-40°~﹢85°C;
存储温度:-55°~﹢125°C;
工作湿度:5%~95%,非凝结;

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Abstract This standard describes VITA 46.0 Advanced Module Format for VMEbus systems, an evolutionary step forward for the provision of high-speed interconnects in harsh-environment applications. Foreword VME has been the de-facto bus standard for Commercial off the Shelf ( COTS ) Circuit Card Assemblies since the 1980’s. VME boards have proven to be remarkably capable of evolving to support newer technologies with innovations such as VME Subsystem Bus, PCI Mezzanine Cards (PMC’s) and VME320. However, advances in technologies, appearing particularly in interconnects, have demonstrated the need for an advance in system development. This advance needs to accommodate high speed interconnect, particularly serial interconnects, and higher power delivery in concert with better heat removal. This draft standard addresses these needs in the context of IEEE 1101 form factor modules. Other specifications may address alternate outlines, such as VITA-48 (Draft). Because electronics miniaturization is driving the plug-in module I/O count, most system interconnects will need:  Multi-gigabit differential technology  Core computing cluster switched fabrics  Serial RapidIO, PCI Express, Advanced Switching Interconnect  Sufficient ports to enable distributed switching or centralized switching The plethora of high-speed interfaces available for tomorrow’s plug-in modules:  Network interfaces (Fibre Channel, 10 GbE XAUI, Infiniband…,)  Digital video (TMDS, PanelLink, OpenLDI…)  Mass storage interface (Fibre Channel, Serial ATA…,)  FPGA-based inter-board connections (e.g. Xilinx RocketIO)  Custom sensor interfaces VITA 46 provides an evolutionary roadmap for VME users:  To leverage the broad spectrum of high-speed interconnect technologies  Backward compatibility with VME bus electrical, software and selected mechanicals  Enables heterogeneous architectures which preserve existing investments in COTS-based systems  Addresses both 3U and 6U form factors with commonality  Harsh environment fit ‘designed-in’ up front in the standard  Rugged air or conduction-cooled form factors  High value placed on rear-panel I/O  High-speed connector survivability/compliance
### 回答1: Vita 57.4标准是一种针对电模块互连的开放式标准。它主要用于连接高速嵌入式模块和载板,以提供可靠的信号传输和通信。该标准VITA(嵌入式模块行业协会)制定,旨在促进模块化设计和通信标准化。 要下载Vita 57.4标准,首先需要访问VITA的官方网站或其他信誉良好的资源网站。在网站上,你可以找到关于VITA标准的详细信息和文档。 在搜索框中输入“Vita 57.4标准下载”或类似的关键词,就能找到相关的下载链接。通常,这些链接会将你引导到一个页面,你可以在该页面上阅读并下载Vita 57.4标准的文件。 在下载之前,你可能需要注册一个账户,成为VITA会员或支付相关费用,具体取决于提供下载的网站。 下载完成后,你可以使用相应的软件(如Adobe Acrobat Reader)打开这些文件。这些文件通常会包含标准的详细说明、规范和设计指南等内容。 运用Vita 57.4标准,你可以了解如何设计和开发兼容该标准的电模块。通过按照VITA标准进行设计,你可以确保模块在不同的载板上实现兼容性、可互换性和可靠性。 总之,要下载Vita 57.4标准,你需要找到可靠的来源,并遵循其指示完成下载。这将为你提供有关电模块互连的重要信息,帮助你进行模块化设计和开发。 ### 回答2: VITA 57.4是一种标准规范,用于定义FPGA(现场可编程门阵列)模块与载板(carrier board)之间的连接。FPGA模块是一种集成电板,内含有可编程的逻辑器件,可用于实现各种不同类型的数字电。载板则是为模块提供电源和基础支持的主板。 要下载VITA 57.4标准,你可以执行以下步骤: 1. 打开互联网浏览器,访问VITA(VME International Trade Association)的官方网站。 2. 在网站的搜索栏中输入“VITA 57.4标准”或“VITA 57.4下载”,然后点击“搜索”按钮。 3. 在搜索结果中,找到与VITA 57.4标准相关的页面或文件。 4. 点击相关页面或文件,查看其详细信息和下载选项。 5. 根据网站提供的下载方式,选择合适的下载选项。可以是直接点击下载链接,或填写一些必要的信息后才能下载。 6. 等待下载完成。下载时间取决于文件大小和您的网络连接速度。 7. 下载完成后,您将得到一个文件,其中包含了VITA 57.4标准的具体规范和定义。 注意事项: - 请确保选择安全可靠的网站进行下载,以避免下载到恶意软件或损坏的文件。 - 在下载过程中,根据网站的要求进行任何必要的注册或授权。 - 如果您在官方网站无法找到VITA 57.4标准的下载选项,可以尝试联系VITA组织,询问其他获取方式。 通过以上步骤,您应该能够成功下载VITA 57.4标准,并了解其在FPGA模块和载板连接方面的详细要求和规范。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值