bug代码1—-new 函数的定义
function new(string name,uvm_component parent)
super.new(name,parent);
`uvm_info("TRACE",$sformatf("%m"),UVM_HIGH)
end function
1,3行少引号,endfunction 要标明函数名
正确代码:
function new(string name, uvm_component parent);
super.new(name, parent);
`uvm_info("TRACE", $sformatf("%m"), UVM_HIGH);
endfunction: new
另外注意 end function :new
也是不对,冒号位置不对,end 和function之间不能有空格
bug代码2—-参数的定义
rand [3:0] da; 错
rand bit [3:0] da; 对
bug代码3—-类定义
class driver extends uvm_driver 错
class driver extends uvm_driver #(packet); 对
bug代码4—-sequence拼写错误
typedef uvm_squencer #(packet) packet_squencer; 错
typedef uvm_sequencer #(packet) pack