键盘消抖

首先将按键值保存两次,以检验按键值的变化。当按键值变后将计数器置0,否则继续加1。当计数器值等于fffff的时候,说明按键是正常按下的,接着保存两次,检测值的变化。若相应位有变化,则将相应的led控制取反。(好像新的代码中键值只检验了一次,不过找不到新代码了。)
`timescale 1ns / 1ps

// Company:
// Engineer:         特权
//
// Create Date:  
// Design Name:    
// Module Name:
// Project Name:   
// Target Device:  
// Tool versions:  
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 欢迎加入EDN的FPGA/CPLD助学小组一起讨论:http://group.ednchina.com/1375/


//说明:当三个独立按键的某一个被按下后,相应的LED被点亮;
//        再次按下后,LED熄灭,按键控制LED亮灭

module sw_debounce(
            clk,rst_n,
            sw1_n,sw2_n,sw3_n,
               led_d1,led_d2,led_d3
            );

input   clk;    //主时钟信号,50MHz
input   rst_n;    //复位信号,低有效
input   sw1_n,sw2_n,sw3_n;     //三个独立按键,低表示按下
output  led_d1,led_d2,led_d3;    //发光二极管,分别由按键控制

//---------------------------------------------------------------------------
reg[2:0] key_rst;  

always @(posedge clk  or negedge rst_n)
    if (!rst_n) key_rst <= 3'b111;
    else key_rst <= {sw3_n,sw2_n,sw1_n};

reg[2:0] key_rst_r;       //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

always @ ( posedge clk  or negedge rst_n )
    if (!rst_n) key_rst_r <= 3'b111;
    else key_rst_r <= key_rst;
   
//当寄存器key_rst由1变为0时,led_an的值变为高,维持一个时钟周期
wire[2:0] key_an = key_rst_r & ( ~key_rst);

//---------------------------------------------------------------------------
reg[19:0]  cnt;    //计数寄存器

always @ (posedge clk  or negedge rst_n)
    if (!rst_n) cnt <= 20'd0;    //异步复位
    else if(key_an) cnt <=20'd0;
    else cnt <= cnt + 1'b1;
 
reg[2:0] low_sw;

always @(posedge clk  or negedge rst_n)
    if (!rst_n) low_sw <= 3'b111;
    else if (cnt == 20'hfffff)     //满20ms,将按键值锁存到寄存器low_sw中     cnt == 20'hfffff
      low_sw <= {sw3_n,sw2_n,sw1_n};
      
//---------------------------------------------------------------------------
reg  [2:0] low_sw_r;       //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

always @ ( posedge clk  or negedge rst_n )
    if (!rst_n) low_sw_r <= 3'b111;
    else low_sw_r <= low_sw;
   
//当寄存器low_sw由1变为0时,led_ctrl的值变为高,维持一个时钟周期
wire[2:0] led_ctrl = low_sw_r[2:0] & ( ~low_sw[2:0]);

reg d1;
reg d2;
reg d3;
 
always @ (posedge clk or negedge rst_n)
    if (!rst_n) begin
        d1 <= 1'b0;
        d2 <= 1'b0;
        d3 <= 1'b0;
      end
    else begin        //某个按键值变化时,LED将做亮灭翻转
        if ( led_ctrl[0] ) d1 <= ~d1;    
        if ( led_ctrl[1] ) d2 <= ~d2;
        if ( led_ctrl[2] ) d3 <= ~d3;
      end

assign led_d3 = d1 ? 1'b1 : 1'b0;        //LED翻转输出
assign led_d2 = d2 ? 1'b1 : 1'b0;
assign led_d1 = d3 ? 1'b1 : 1'b0;
 

endmodule

程序功能:具有松手检测功能的按键消抖程序

程序说明:

       脉冲检测法:即在按键按下时产生一个周期的脉冲信号,按键松开是也产生一个周期的脉冲信号。

       主要设计思路:检测到按键按下时,延时20ms,然后再检测是否真的被按下,确实被按下时使能松手检测信号,检测松手,当第一次检测到松手时,延时20ms,再次检测松手,确实松手时led控制信号翻转。

 

Module debounce(rst_n,clk,pin_in,pin_out);

input rst_n;            //复位信号,低电平有效

input clk;               //时钟信号,50M

input pin_in;          //按键信号,输入0表示被按下

output pin_out;      //输出信号

 

reg rpin_out;

reg en;        //松手检测使能信号

parameter T1ms=16’hc350;   //1ms计数值

parameter n=8’d20;                     //20个1ms   即20ms

 

//第一次检测:

reg key1_HtoL,key2_HtoL;

reg  key1_LtoH,key2_LtoH;

wire key_fall,key_rise;

always @(posedge clk or negedge rst_n)

       if(!rst_n)

              begin

                     key1_HtoL<=1’b1;

                     key2_HtoL<=1’b1;

                     key1_LtoH<=1’b0;

                     key2_LtoH<=1’b0;

               end

       else

              begin

                     key1_HtoL<=pin_in;

                     key2_HtoL<=key1_HtoL;

                     if(en)

                            begin

                                   key1_LtoH<=pin_in;

                                   key2_LtoH<=key1_LtoH;

                            end

              end

assign key_fall=key2_HtoL&(~key1_HtoL);

assign key_rise=(~key2_LtoH)&key1_LtoH;

//通过以上算法,一旦检测到按键按下,key_fall拉高一个时钟周期,同理,在en=1的情况下,一旦检测到按键松手了,key_rise也将拉高一个时钟周期。

延时20ms,key_fall或key_rise为1时,清零count和num,开始计时

 

reg[15:0] count;

reg[7:0] num;

always @(posedge clk or negedge rst_n)

       if(!rst_n)count<=0;

       elseif(key_fall|key_rise) count<=0;

       elsecount<=count+1’b1;

always @(posedge clk or negedge rst_n)

       if(!rst_n)num<=0;

       elseif(key_fall|key_rise) num<=0;

       elseif(count==T1ms) num<=num+1’b1’

 

//第二次检测:

reg sw1_HtoL,sw2_HtoL;

reg sw1_LtoH,sw2_LtoH;

wire sw_fall,sw_rise;

always @(posedge clk or negedge rst_n)

       if(!rst_n)

              begin

                     sw1_HtoL<=1’b1;

                     sw2_HtoL<=1’b1;

                     sw1_LtoH<=1’b0;

                     sw2_LtoH<=1’b0;

              end

       elseif(num>=n)

              begin

                     sw1_HtoL<=pin_in;

                     sw2_HtoL<=sw1_HtoL;

                     if(en)

                            begin

                                   sw1_LtoH<=pin_in;

                                   sw2_LtoH<=sw1_LtoH;

                            end

              end

assign sw_fall=sw2_HtoL&(~sw1_HtoL);

assign sw_rise=(~sw2_LtoH)&sw1_LtoH;

 

always @(posedge clk or negedge rst_n)

       if(!rst_n) en<=1’b0;

       elseif(sw_fall) en<=1’b1;     //按键按下时使能松手检测

 

always @(posedge clk or negedge rst_n)

       if(!rst_n) rpin_out<=1’b0;

       elseif(sw_rise) rpin_out<=~rpin_out; //松手是翻转

assign pin_out=rpin_out?1’b1:1’b0;

endmodule




  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值