FPGA:IP核(时钟IP)

本文介绍了时钟IP核中的MMCM和PLL,它们作为频率合成器能生成多种时钟频率并过滤输入时钟的抖动。MMCM是PLL的改进版,当lock信号从低到高变化时,表明输出时钟已稳定。在VIVADO中,可通过时钟向导配置输出时钟的频率、占空比和相位。输入源可以是50MHz晶振,输出则可灵活调整。
摘要由CSDN通过智能技术生成

**时钟IP核:**MMCM和PLL。
生成系统需要的多个时钟频率及相位。他们都可以作为一个频率合成器,用于宽范围的频率和输入时钟的抖动过滤器。MMCM是PLL的升级。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
lock由低电平变为高电平时说明输出的始终稳定。
输入信号可以是我们核心板50MHZ的晶振。在VIVADO中是通过一个时钟向导的方式对输出的时钟进行配置。输出的信号可以调节频率,占空比以及相位。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值