串行加法器/减法器

一、实验原理

n个1位的全加器(FA)可级联成一个n位的行波进位加法器.M为方式控制输入线,当M=0时,做加法(A+B)运算;当M=l时,做减法(A-B)运算,在后一种情况下,A-B运算转化成[A] 补+[-B] 补运算,求补过程由B+1来实现.因此图中是右边的全加器的起始进位输入端被连接到功能方式线M上,做减法时M=l,相当于在加法器的最低位上加1.另外图中左边还表示出单符号位法的溢出检测逻辑:当Cn =Cn-1 时,运算无溢出;而当CnCn-1 时,运算有溢出,经异或门产生溢出信号.

两个二进制数字Ai , Bi 和一个进位输入Ci 相加,产生一个和输出Si ,以及一个进位输出Ci+1

输入

输出

Ai

Bi

Ci

Si

Ci+1

0

0

0

0

0

0

0

1

1

0

0

1

0

1

0

0

1

1

0

1

1

0

0

1

0

1

0

1

0

1

1

1

0

0

1

1

1

1

1

1

根据上表所示的真值表,三个输入端和两个输入端可按如下逻辑方程进行联系:

SiAiBiCi

Ci+1AiBiBiCiAiCi

对一位全加器(FA)来说, Si 的时间延迟为6T(每级异或门延迟3T), Ci+1 的时间延迟为5T,其中T被定义为相应于单级逻辑电路的单位门延迟。T通常采用一个“与非”门或一个“或非”门的时间延迟来作为度量单位。

电路原理图如下:

二、串行可控加/减法器测试

电路初始图:

子电路图:

(1)FA:全加器

示例一:加法

  1. 不溢出

1000000000000000+0110000000000000=1110000000000000

  1. 可以溢出

1000000000000000+1000000000000000=10000000000000000

示例二:减法:

1110000000000000-1000000000000000=0110000000000000

三、实验代码

<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0"/>
  <lib desc="#Gates" name="1"/>
  <lib desc="#Plexers" name="2"/>
  <lib desc="#Arithmetic" name="3"/>
  <lib desc="#Memory" name="4">
    <tool name="ROM">
      <a name="contents">addr/data: 8 8
0
</a>
    </tool>
  </lib>
  <lib desc="#I/O" name="5"/>
  <lib desc="#Base" name="6">
    <tool name="Text Tool">
      <a name="text" val=""/>
      <a name="font" val="SansSerif plain 12"/>
      <a name="halign" val="center"/>
      <a name="valign" val="base"/>
    </tool>
  </lib>
  <main name="main"/>
  <options>
    <a name="gateUndefined" val="ignore"/>
    <a name="simlimit" val="1000"/>
    <a name="simrand" val="0"/>
  </options>
  <mappings>
    <tool lib="6" map="Button2" name="Menu Tool"/>
    <tool lib="6" map="Button3" name="Menu Tool"/>
    <tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
  </mappings>
  <toolbar>
    <tool lib="6" name="Poke Tool"/>
    <tool lib="6" name="Edit Tool"/>
    <tool lib="6" name="Text Tool">
      <a name="text" val=""/>
      <a name="font" val="SansSerif plain 12"/>
      <a name="halign" val="center"/>
      <a name="valign" val="base"/>
    </tool>
    <sep/>
    <tool lib="0" name="Pin">
      <a name="tristate" val="false"/>
    </tool>
    <tool lib="0" name="Pin">
      <a name="facing" val="west"/>
      <a name="output" val="true"/>
      <a name="labelloc" val="east"/>
    </tool>
    <tool lib="1" name="NOT Gate"/>
    <tool lib="1" name="AND Gate"/>
    <tool lib="1" name="OR Gate"/>
  </toolbar>
  <circuit name="main">
    <a name="circuit" val="main"/>
    <a name="clabel" val=""/>
    <a name="clabelup" val="east"/>
    <a name="clabelfont" val="SansSerif plain 12"/>
    <wire from="(310,540)" to="(370,540)"/>
    <wire from="(390,540)" to="(450,540)"/>
    <wire from="(550,70)" to="(550,80)"/>
    <wire from="(70,540)" to="(130,540)"/>
    <wire from="(150,540)" to="(210,540)"/>
    <wire from="(230,540)" to="(290,540)"/>
    <wire from="(790,540)" to="(850,540)"/>
    <wire from="(870,540)" to="(930,540)"/>
    <wire from="(950,540)" to="(1010,540)"/>
    <wire from="(1030,540)" to="(1090,540)"/>
    <wire from="(550,540)" to="(610,540)"/>
    <wire from="(630,540)" to="(690,540)"/>
    <wire from="(710,540)" to="(770,540)"/>
    <wire from="(570,760)" to="(570,830)"/>
    <wire from="(810,170)" to="(910,170)"/>
    <wire from="(970,170)" to="(1070,170)"/>
    <wire from="(1110,540)" to="(1170,540)"/>
    <wire from="(650,170)" to="(750,170)"/>
    <wire from="(1190,540)" to="(1250,540)"/>
    <wire from="(1410,270)" to="(1410,400)"/>
    <wire from="(520,40)" to="(520,70)"/>
    <wire from="(720,150)" to="(830,150)"/>
    <wire from="(330,170)" to="(430,170)"/>
    <wire from="(490,170)" to="(590,170)"/>
    <wire from="(90,170)" to="(190,170)"/>
    <wire from="(920,290)" to="(1390,290)"/>
    <wire from="(200,100)" to="(420,100)"/>
    <wire from="(520,40)" to="(1000,40)"/>
    <wire from="(1320,70)" to="(1320,190)"/>
    <wire from="(330,170)" to="(330,230)"/>
    <wire from="(1320,360)" to="(1320,400)"/>
    <wire from="(610,650)" to="(620,650)"/>
    <wire from="(470,70)" to="(470,140)"/>
    <wire from="(360,120)" to="(360,190)"/>
    <wire from="(1360,320)" to="(1360,400)"/>
    <wire from="(360,360)" to="(1320,360)"/>
    <wire from="(90,170)" to="(90,240)"/>
    <wire from="(30,160)" to="(30,170)"/>
    <wire from="(760,120)" to="(760,190)"/>
    <wire from="(650,170)" to="(650,240)"/>
    <wire from="(990,160)" to="(990,190)"/>
    <wire from="(670,160)" to="(670,190)"/>
    <wire from="(490,170)" to="(490,250)"/>
    <wire from="(420,70)" to="(420,100)"/>
    <wire from="(510,160)" to="(510,190)"/>
    <wire from="(350,160)" to="(350,190)"/>
    <wire from="(810,170)" to="(810,250)"/>
    <wire from="(970,170)" to="(970,250)"/>
    <wire from="(810,250)" to="(830,250)"/>
    <wire from="(510,100)" to="(920,100)"/>
    <wire from="(970,250)" to="(990,250)"/>
    <wire from="(570,250)" to="(590,250)"/>
    <wire from="(490,250)" to="(510,250)"/>
    <wire from="(500,110)" to="(840,110)"/>
    <wire from="(1400,280)" to="(1400,400)"/>
    <wire from="(500,790)" to="(500,830)"/>
    <wire from="(250,250)" to="(270,250)"/>
    <wire from="(500,70)" to="(500,110)"/>
    <wire from="(1200,230)" to="(1230,230)"/>
    <wire from="(440,130)" to="(450,130)"/>
    <wire from="(620,590)" to="(620,650)"/>
    <wire from="(490,120)" to="(760,120)"/>
    <wire from="(470,140)" to="(600,140)"/>
    <wire from="(1000,280)" to="(1400,280)"/>
    <wire from="(480,130)" to="(680,130)"/>
    <wire from="(1320,220)" to="(1320,240)"/>
    <wire from="(440,350)" to="(1330,350)"/>
    <wire from="(580,750)" to="(1020,750)"/>
    <wire from="(130,220)" to="(130,540)"/>
    <wire from="(210,220)" to="(210,540)"/>
    <wire from="(1120,170)" to="(1230,170)"/>
    <wire from="(460,150)" to="(520,150)"/>
    <wire from="(290,220)" to="(290,540)"/>
    <wire from="(370,220)" to="(370,540)"/>
    <wire from="(450,220)" to="(450,540)"/>
    <wire from="(570,760)" to="(940,760)"/>
    <wire from="(530,220)" to="(530,540)"/>
    <wire from="(610,220)" to="(610,540)"/>
    <wire from="(1310,370)" to="(1310,400)"/>
    <wire from="(690,220)" to="(690,540)"/>
    <wire from="(770,220)" to="(770,540)"/>
    <wire from="(590,740)" to="(1100,740)"/>
    <wire from="(850,220)" to="(850,540)"/>
    <wire from="(1240,220)" to="(1240,250)"/>
    <wire from="(930,220)" to="(930,540)"/>
    <wire from="(1010,220)" to="(1010,540)"/>
    <wire from="(1090,220)" to="(1090,540)"/>
    <wire from="(1170,220)" to="(1170,540)"/>
    <wire from="(1250,220)" to="(1250,540)"/>
    <wire from="(1500,230)" to="(1500,550)"/>
    <wire from="(1000,40)" to="(1000,190)"/>
    <wire from="(530,70)" to="(530,90)"/>
    <wire from="(1200,180)" to="(1200,230)"/>
    <wire from="(1080,220)" to="(1080,270)"/>
    <wire from="(120,90)" to="(120,190)"/>
    <wire from="(1080,270)" to="(1410,270)"/>
    <wire from="(540,790)" to="(700,790)"/>
    <wire from="(60,830)" to="(460,830)"/>
    <wire from="(1000,220)" to="(1000,280)"/>
    <wire from="(1080,90)" to="(1080,190)"/>
    <wire from="(490,590)" to="(490,640)"/>
    <wire from="(1440,240)" to="(1440,400)"/>
    <wire from="(1160,220)" to="(1160,260)"/>
    <wire from="(450,70)" to="(450,130)"/>
    <wire from="(600,140)" to="(600,190)"/>
    <wire from="(560,70)" to="(1320,70)"/>
    <wire from="(710,540)" to="(710,550)"/>
    <wire from="(550,540)" to="(550,550)"/>
    <wire from="(630,540)" to="(630,550)"/>
    <wire from="(620,850)" to="(620,860)"/>
    <wire from="(950,540)" to="(950,550)"/>
    <wire from="(520,340)" to="(1340,340)"/>
    <wire from="(30,170)" to="(90,170)"/>
    <wire from="(50,190)" to="(110,190)"/>
    <wire from="(1030,540)" to="(1030,550)"/>
    <wire from="(790,540)" to="(790,550)"/>
    <wire from="(550,80)" to="(1240,80)"/>
    <wire from="(1100,590)" to="(1100,740)"/>
    <wire from="(870,540)" to="(870,550)"/>
    <wire from="(150,540)" to="(150,550)"/>
    <wire from="(920,220)" to="(920,290)"/>
    <wire from="(230,540)" to="(230,550)"/>
    <wire from="(1040,180)" to="(1040,250)"/>
    <wire from="(70,540)" to="(70,550)"/>
    <wire from="(1270,230)" to="(1310,230)"/>
    <wire from="(390,540)" to="(390,550)"/>
    <wire from="(270,180)" to="(270,190)"/>
    <wire from="(310,540)" to="(310,550)"/>
    <wire from="(1180,590)" to="(1180,720)"/>
    <wire from="(760,220)" to="(760,310)"/>
    <wire from="(890,160)" to="(990,160)"/>
    <wire from="(1350,330)" to="(1350,400)"/>
    <wire from="(570,160)" to="(670,160)"/>
    <wire from="(1190,540)" to="(1190,550)"/>
    <wire from="(490,800)" to="(490,830)"/>
    <wire from="(1310,180)" to="(1310,190)"/>
    <wire from="(410,160)" to="(510,160)"/>
    <wire from="(1110,540)" to="(1110,550)"/>
    <wire from="(1370,640)" to="(1420,640)"/>
    <wire from="(1150,180)" to="(1150,190)"/>
    <wire from="(840,220)" to="(840,300)"/>
    <wire from="(540,50)" to="(540,70)"/>
    <wire from="(250,160)" to="(350,160)"/>
    <wire from="(400,640)" to="(490,640)"/>
    <wire from="(940,590)" to="(940,760)"/>
    <wire from="(600,220)" to="(600,330)"/>
    <wire from="(1160,260)" to="(1420,260)"/>
    <wire from="(1260,590)" to="(1260,780)"/>
    <wire from="(1040,250)" to="(1070,250)"/>
    <wire from="(680,220)" to="(680,320)"/>
    <wire from="(280,110)" to="(430,110)"/>
    <wire from="(1020,590)" to="(1020,750)"/>
    <wire from="(140,820)" to="(470,820)"/>
    <wire from="(1280,640)" to="(1370,640)"/>
    <wire from="(530,780)" to="(610,780)"/>
    <wire from="(630,780)" to="(630,830)"/>
    <wire from="(1390,290)" to="(1390,400)"/>
    <wire from="(480,70)" to="(480,130)"/>
    <wire from="(540,590)" to="(540,770)"/>
    <wire from="(520,220)" to="(520,340)"/>
    <wire from="(630,780)" to="(1260,780)"/>
    <wire from="(340,50)" to="(400,50)"/>
    <wire from="(1330,230)" to="(1500,230)"/>
    <wire from="(700,590)" to="(700,790)"/>
    <wire from="(1430,250)" to="(1430,400)"/>
    <wire from="(440,220)" to="(440,350)"/>
    <wire from="(1300,380)" to="(1300,400)"/>
    <wire from="(360,220)" to="(360,360)"/>
    <wire from="(1270,230)" to="(1270,510)"/>
    <wire from="(380,590)" to="(380,790)"/>
    <wire from="(1270,510)" to="(1370,510)"/>
    <wire from="(720,150)" to="(720,240)"/>
    <wire from="(860,590)" to="(860,810)"/>
    <wire from="(280,220)" to="(280,370)"/>
    <wire from="(570,160)" to="(570,250)"/>
    <wire from="(550,800)" to="(780,800)"/>
    <wire from="(590,740)" to="(590,830)"/>
    <wire from="(280,110)" to="(280,190)"/>
    <wire from="(410,160)" to="(410,240)"/>
    <wire from="(300,590)" to="(300,800)"/>
    <wire from="(1370,510)" to="(1370,640)"/>
    <wire from="(510,70)" to="(510,100)"/>
    <wire from="(250,160)" to="(250,250)"/>
    <wire from="(600,330)" to="(1350,330)"/>
    <wire from="(840,110)" to="(840,190)"/>
    <wire from="(890,160)" to="(890,240)"/>
    <wire from="(780,590)" to="(780,800)"/>
    <wire from="(220,590)" to="(220,810)"/>
    <wire from="(890,240)" to="(910,240)"/>
    <wire from="(200,220)" to="(200,380)"/>
    <wire from="(830,150)" to="(830,190)"/>
    <wire from="(140,590)" to="(140,820)"/>
    <wire from="(650,240)" to="(670,240)"/>
    <wire from="(1340,340)" to="(1340,400)"/>
    <wire from="(40,80)" to="(40,120)"/>
    <wire from="(410,240)" to="(430,240)"/>
    <wire from="(120,220)" to="(120,390)"/>
    <wire from="(90,240)" to="(110,240)"/>
    <wire from="(170,240)" to="(190,240)"/>
    <wire from="(430,70)" to="(430,110)"/>
    <wire from="(60,590)" to="(60,830)"/>
    <wire from="(600,720)" to="(1180,720)"/>
    <wire from="(490,640)" to="(560,640)"/>
    <wire from="(220,810)" to="(480,810)"/>
    <wire from="(1240,250)" to="(1430,250)"/>
    <wire from="(680,320)" to="(1360,320)"/>
    <wire from="(480,810)" to="(480,830)"/>
    <wire from="(550,800)" to="(550,830)"/>
    <wire from="(560,810)" to="(560,830)"/>
    <wire from="(1330,220)" to="(1330,230)"/>
    <wire from="(170,180)" to="(270,180)"/>
    <wire from="(120,90)" to="(410,90)"/>
    <wire from="(540,790)" to="(540,830)"/>
    <wire from="(600,720)" to="(600,830)"/>
    <wire from="(120,390)" to="(1290,390)"/>
    <wire from="(1380,300)" to="(1380,400)"/>
    <wire from="(520,770)" to="(520,830)"/>
    <wire from="(680,130)" to="(680,190)"/>
    <wire from="(440,130)" to="(440,190)"/>
    <wire from="(530,780)" to="(530,830)"/>
    <wire from="(1240,80)" to="(1240,190)"/>
    <wire from="(170,180)" to="(170,240)"/>
    <wire from="(1070,170)" to="(1070,190)"/>
    <wire from="(1230,170)" to="(1230,190)"/>
    <wire from="(300,800)" to="(490,800)"/>
    <wire from="(480,540)" to="(530,540)"/>
    <wire from="(610,650)" to="(610,780)"/>
    <wire from="(480,540)" to="(480,550)"/>
    <wire from="(430,170)" to="(430,190)"/>
    <wire from="(410,70)" to="(410,90)"/>
    <wire from="(530,90)" to="(1080,90)"/>
    <wire from="(760,310)" to="(1370,310)"/>
    <wire from="(190,170)" to="(190,190)"/>
    <wire from="(460,70)" to="(460,150)"/>
    <wire from="(1120,170)" to="(1120,240)"/>
    <wire from="(1290,390)" to="(1290,400)"/>
    <wire from="(1420,260)" to="(1420,400)"/>
    <wire from="(910,170)" to="(910,190)"/>
    <wire from="(580,750)" to="(580,830)"/>
    <wire from="(1320,240)" to="(1440,240)"/>
    <wire from="(590,170)" to="(590,190)"/>
    <wire from="(560,810)" to="(860,810)"/>
    <wire from="(750,170)" to="(750,190)"/>
    <wire from="(470,780)" to="(510,780)"/>
    <wire from="(1040,640)" to="(1120,640)"/>
    <wire from="(1120,640)" to="(1200,640)"/>
    <wire from="(1200,640)" to="(1280,640)"/>
    <wire from="(520,770)" to="(540,770)"/>
    <wire from="(1330,350)" to="(1330,400)"/>
    <wire from="(720,240)" to="(750,240)"/>
    <wire from="(80,640)" to="(160,640)"/>
    <wire from="(160,640)" to="(240,640)"/>
    <wire from="(1120,240)" to="(1150,240)"/>
    <wire from="(240,640)" to="(320,640)"/>
    <wire from="(320,640)" to="(400,640)"/>
    <wire from="(360,120)" to="(440,120)"/>
    <wire from="(490,70)" to="(490,120)"/>
    <wire from="(200,380)" to="(1300,380)"/>
    <wire from="(560,640)" to="(640,640)"/>
    <wire from="(470,590)" to="(470,780)"/>
    <wire from="(640,640)" to="(720,640)"/>
    <wire from="(720,640)" to="(800,640)"/>
    <wire from="(800,640)" to="(880,640)"/>
    <wire from="(880,640)" to="(960,640)"/>
    <wire from="(960,640)" to="(1040,640)"/>
    <wire from="(1200,180)" to="(1310,180)"/>
    <wire from="(1150,220)" to="(1150,240)"/>
    <wire from="(1270,550)" to="(1500,550)"/>
    <wire from="(470,820)" to="(470,830)"/>
    <wire from="(1070,220)" to="(1070,250)"/>
    <wire from="(380,790)" to="(500,790)"/>
    <wire from="(1370,310)" to="(1370,400)"/>
    <wire from="(350,220)" to="(350,230)"/>
    <wire from="(990,220)" to="(990,250)"/>
    <wire from="(830,220)" to="(830,250)"/>
    <wire from="(430,220)" to="(430,240)"/>
    <wire from="(110,220)" to="(110,240)"/>
    <wire from="(190,220)" to="(190,240)"/>
    <wire from="(920,100)" to="(920,190)"/>
    <wire from="(590,220)" to="(590,250)"/>
    <wire from="(1230,220)" to="(1230,230)"/>
    <wire from="(510,220)" to="(510,250)"/>
    <wire from="(200,100)" to="(200,190)"/>
    <wire from="(910,220)" to="(910,240)"/>
    <wire from="(1310,220)" to="(1310,230)"/>
    <wire from="(270,220)" to="(270,250)"/>
    <wire from="(540,50)" to="(1160,50)"/>
    <wire from="(1040,180)" to="(1150,180)"/>
    <wire from="(1160,50)" to="(1160,190)"/>
    <wire from="(670,220)" to="(670,240)"/>
    <wire from="(50,160)" to="(50,190)"/>
    <wire from="(750,220)" to="(750,240)"/>
    <wire from="(1200,590)" to="(1200,640)"/>
    <wire from="(1280,590)" to="(1280,640)"/>
    <wire from="(1040,590)" to="(1040,640)"/>
    <wire from="(1120,590)" to="(1120,640)"/>
    <wire from="(610,830)" to="(630,830)"/>
    <wire from="(840,300)" to="(1380,300)"/>
    <wire from="(330,230)" to="(350,230)"/>
    <wire from="(520,150)" to="(520,190)"/>
    <wire from="(160,590)" to="(160,640)"/>
    <wire from="(240,590)" to="(240,640)"/>
    <wire from="(80,590)" to="(80,640)"/>
    <wire from="(400,590)" to="(400,640)"/>
    <wire from="(440,70)" to="(440,120)"/>
    <wire from="(320,590)" to="(320,640)"/>
    <wire from="(920,190)" to="(930,190)"/>
    <wire from="(720,590)" to="(720,640)"/>
    <wire from="(560,590)" to="(560,640)"/>
    <wire from="(510,780)" to="(510,830)"/>
    <wire from="(640,590)" to="(640,640)"/>
    <wire from="(960,590)" to="(960,640)"/>
    <wire from="(800,590)" to="(800,640)"/>
    <wire from="(280,370)" to="(1310,370)"/>
    <wire from="(880,590)" to="(880,640)"/>
    <comp lib="6" loc="(787,150)" name="Text">
      <a name="text" val="C7"/>
    </comp>
    <comp lib="6" loc="(470,161)" name="Text">
      <a name="text" val="C11"/>
    </comp>
    <comp lib="1" loc="(870,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp lib="0" loc="(620,850)" name="Splitter">
      <a name="facing" val="north"/>
      <a name="fanout" val="16"/>
      <a name="incoming" val="16"/>
    </comp>
    <comp lib="0" loc="(1450,420)" name="Pin">
      <a name="facing" val="north"/>
      <a name="width" val="16"/>
      <a name="tristate" val="false"/>
    </comp>
    <comp loc="(670,190)" name="FA"/>
    <comp lib="0" loc="(1420,640)" name="Pin">
      <a name="facing" val="west"/>
      <a name="tristate" val="false"/>
    </comp>
    <comp lib="6" loc="(705,112)" name="Text"/>
    <comp lib="0" loc="(620,860)" name="Pin">
      <a name="facing" val="north"/>
      <a name="width" val="16"/>
      <a name="tristate" val="false"/>
    </comp>
    <comp loc="(990,190)" name="FA"/>
    <comp lib="6" loc="(384,171)" name="Text">
      <a name="text" val="C12"/>
    </comp>
    <comp lib="6" loc="(1344,199)" name="Text">
      <a name="text" val="C0"/>
    </comp>
    <comp lib="6" loc="(32,49)" name="Text">
      <a name="text" val="溢出"/>
    </comp>
    <comp lib="1" loc="(390,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp loc="(830,190)" name="FA"/>
    <comp lib="6" loc="(937,159)" name="Text">
      <a name="text" val="C5"/>
    </comp>
    <comp lib="6" loc="(705,175)" name="Text">
      <a name="text" val="C8"/>
    </comp>
    <comp lib="1" loc="(1110,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp loc="(510,190)" name="FA"/>
    <comp loc="(1070,190)" name="FA"/>
    <comp loc="(110,190)" name="FA"/>
    <comp loc="(350,190)" name="FA"/>
    <comp lib="6" loc="(1424,477)" name="Text">
      <a name="text" val="A15-A1"/>
    </comp>
    <comp lib="0" loc="(40,80)" name="Pin">
      <a name="facing" val="south"/>
      <a name="output" val="true"/>
      <a name="labelloc" val="east"/>
    </comp>
    <comp lib="0" loc="(1450,420)" name="Splitter">
      <a name="facing" val="north"/>
      <a name="fanout" val="16"/>
      <a name="incoming" val="16"/>
    </comp>
    <comp lib="1" loc="(1270,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp lib="6" loc="(237,48)" name="Text">
      <a name="text" val="S15-S1"/>
    </comp>
    <comp lib="6" loc="(1181,171)" name="Text">
      <a name="text" val="C2"/>
    </comp>
    <comp lib="1" loc="(1030,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp lib="1" loc="(230,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp loc="(750,190)" name="FA"/>
    <comp lib="6" loc="(1026,170)" name="Text">
      <a name="text" val="C4"/>
    </comp>
    <comp lib="1" loc="(950,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp loc="(590,190)" name="FA"/>
    <comp lib="6" loc="(310,160)" name="Text">
      <a name="text" val="C13"/>
    </comp>
    <comp lib="6" loc="(1102,183)" name="Text">
      <a name="text" val="C3"/>
    </comp>
    <comp lib="1" loc="(480,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp lib="1" loc="(150,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp lib="6" loc="(712,109)" name="Text"/>
    <comp loc="(1150,190)" name="FA"/>
    <comp lib="6" loc="(229,180)" name="Text">
      <a name="text" val="C!4"/>
    </comp>
    <comp lib="0" loc="(400,50)" name="Splitter">
      <a name="facing" val="south"/>
      <a name="fanout" val="16"/>
      <a name="incoming" val="16"/>
    </comp>
    <comp lib="1" loc="(40,120)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp lib="6" loc="(13,165)" name="Text">
      <a name="text" val="C16"/>
    </comp>
    <comp lib="0" loc="(340,50)" name="Pin">
      <a name="output" val="true"/>
      <a name="width" val="16"/>
      <a name="labelloc" val="east"/>
    </comp>
    <comp lib="1" loc="(710,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp lib="1" loc="(310,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp loc="(270,190)" name="FA"/>
    <comp lib="6" loc="(541,175)" name="Text">
      <a name="text" val="C10"/>
    </comp>
    <comp lib="6" loc="(1261,179)" name="Text">
      <a name="text" val="C1"/>
    </comp>
    <comp loc="(1230,190)" name="FA"/>
    <comp lib="6" loc="(863,171)" name="Text">
      <a name="text" val="C6"/>
    </comp>
    <comp loc="(190,190)" name="FA"/>
    <comp loc="(910,190)" name="FA"/>
    <comp loc="(1310,190)" name="FA"/>
    <comp lib="1" loc="(70,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp lib="1" loc="(630,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp loc="(430,190)" name="FA"/>
    <comp lib="1" loc="(1190,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp lib="6" loc="(145,167)" name="Text">
      <a name="text" val="C15"/>
    </comp>
    <comp lib="1" loc="(550,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp lib="6" loc="(692,882)" name="Text">
      <a name="text" val="B15-B1"/>
    </comp>
    <comp lib="1" loc="(790,550)" name="XOR Gate">
      <a name="facing" val="north"/>
      <a name="size" val="30"/>
      <a name="inputs" val="3"/>
    </comp>
    <comp lib="6" loc="(633,157)" name="Text">
      <a name="text" val="C9"/>
    </comp>
  </circuit>
  <circuit name="FA">
    <a name="circuit" val="FA"/>
    <a name="clabel" val=""/>
    <a name="clabelup" val="east"/>
    <a name="clabelfont" val="SansSerif plain 12"/>
    <wire from="(790,670)" to="(790,680)"/>
    <wire from="(810,380)" to="(810,390)"/>
    <wire from="(380,260)" to="(380,390)"/>
    <wire from="(390,610)" to="(390,620)"/>
    <wire from="(370,440)" to="(370,640)"/>
    <wire from="(550,310)" to="(550,380)"/>
    <wire from="(440,260)" to="(560,260)"/>
    <wire from="(390,610)" to="(830,610)"/>
    <wire from="(790,640)" to="(790,670)"/>
    <wire from="(720,320)" to="(720,350)"/>
    <wire from="(720,350)" to="(720,380)"/>
    <wire from="(700,380)" to="(700,600)"/>
    <wire from="(440,230)" to="(440,260)"/>
    <wire from="(420,230)" to="(420,260)"/>
    <wire from="(370,640)" to="(790,640)"/>
    <wire from="(380,260)" to="(420,260)"/>
    <wire from="(570,310)" to="(570,350)"/>
    <wire from="(570,350)" to="(720,350)"/>
    <wire from="(550,380)" to="(700,380)"/>
    <wire from="(480,600)" to="(700,600)"/>
    <wire from="(830,450)" to="(830,610)"/>
    <wire from="(390,440)" to="(390,610)"/>
    <wire from="(720,380)" to="(810,380)"/>
    <wire from="(430,140)" to="(430,180)"/>
    <wire from="(830,610)" to="(830,670)"/>
    <wire from="(790,450)" to="(790,640)"/>
    <wire from="(710,140)" to="(710,260)"/>
    <wire from="(700,320)" to="(700,380)"/>
    <wire from="(480,600)" to="(480,660)"/>
    <comp lib="6" loc="(481,696)" name="Text">
      <a name="text" val="ci"/>
    </comp>
    <comp lib="1" loc="(810,390)" name="XOR Gate">
      <a name="facing" val="north"/>
    </comp>
    <comp lib="0" loc="(480,660)" name="Pin">
      <a name="facing" val="north"/>
      <a name="tristate" val="false"/>
    </comp>
    <comp lib="6" loc="(829,706)" name="Text">
      <a name="text" val="bi"/>
    </comp>
    <comp lib="1" loc="(380,390)" name="AND Gate">
      <a name="facing" val="north"/>
    </comp>
    <comp lib="6" loc="(430,113)" name="Text">
      <a name="text" val="ci+1"/>
    </comp>
    <comp lib="6" loc="(786,706)" name="Text">
      <a name="text" val="ai"/>
    </comp>
    <comp lib="0" loc="(430,140)" name="Pin">
      <a name="facing" val="south"/>
      <a name="output" val="true"/>
      <a name="labelloc" val="north"/>
    </comp>
    <comp lib="0" loc="(710,140)" name="Pin">
      <a name="facing" val="south"/>
      <a name="output" val="true"/>
      <a name="labelloc" val="north"/>
    </comp>
    <comp lib="1" loc="(430,180)" name="OR Gate">
      <a name="facing" val="north"/>
    </comp>
    <comp lib="6" loc="(709,110)" name="Text">
      <a name="text" val="si"/>
    </comp>
    <comp lib="0" loc="(830,670)" name="Pin">
      <a name="facing" val="north"/>
      <a name="tristate" val="false"/>
    </comp>
    <comp lib="1" loc="(710,260)" name="XOR Gate">
      <a name="facing" val="north"/>
    </comp>
    <comp lib="1" loc="(560,260)" name="AND Gate">
      <a name="facing" val="north"/>
    </comp>
    <comp lib="0" loc="(790,670)" name="Pin">
      <a name="facing" val="north"/>
      <a name="tristate" val="false"/>
    </comp>
  </circuit>
</project>

  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

钻仰弥坚

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值