vivado MBUFG_GROUP

通用多时钟缓冲器(MBUFG)是具有多个输出的时钟缓冲器,可生成
/1、/2、/4、/8版本的输入时钟分别位于输出引脚O1、O2、O3、O4上。时钟
由同一时钟修改块(如MMCM、DPLL或XPLL)驱动的缓冲器,或
具有公共驱动器的并行时钟缓冲器可以转换为MBUFG时钟
如果输出时钟的分频因子与输入时钟的关系为
1, 2, 4, 8. MBUFG_GROUP属性可以应用于由全局驱动的时钟网络
具有相同MMCM、PLL、GT或公共驱动器的时钟缓冲器
在opt_design阶段转换为MBUFG图元。
架构支持
通用ACAP架构。
适用对象
直接连接到全局时钟缓冲区输出的时钟网段(get_nets)
(BUFG_PS、BUFGCE、BUFGCTRL、BUFGCE_DIV、BUFG_GT)具有共同的驱动程序
具有1、2、4或8倍的时钟周期要求。

Syntax
Verilog and VHDL Syntax
Not applicable
XDC Syntax
set_property MBUFG_GROUP <name> [get_nets <clk_nets>]
set_property MBUFG_GROUP <name> [get_nets -of_objects [get_pins <clock_buffer>/O]
Where
<name> is the unique name to associate with the specified clock nets.
<clk_nets> is a list of clock nets directly connected to the output of global clock
buffers, that are driven by a common cell, such as an MMCM for example.
XDC Syntax Example
# Define a MBUFG group to convert parallel clock buffers to MBUFG.
set_property MBUFG_GROUP grp12 [get_nets {clk1_net clk2_net}]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值