verilog读取bmp格式图片

代码如下:

 
`timescale 1 ns/1 ns
module test_t;
integer fileId, cc,out_file,i;
reg [7:0] bmp_data [0:2000000];
reg clk;
reg [7:0] data;
integer bmp_width, bmp_hight, data_start_index, bmp_size;

initial 
begin
  fileId = $fopen("G:\\Contrast ratio\\part_var\\test2.bmp","rb");
  out_file = $fopen("G:\\Contrast ratio\\part_var\\out_put_file.txt","w+");​
  cc = $fread(bmp_data, fileId);
  bmp_width = {bmp_data[21],bmp_data[20],bmp_data[19],bmp_data[18]};
  bmp_hight = {bmp_data[25],bmp_data[24],bmp_data[23],bmp_data[22]};
  data_start_index = {bmp_data[13],bmp_data[12],bmp_data[11],bmp_data[10]};
  bmp_size = {bmp_data[5],bmp_data[4],bmp_data[3],bmp_data[2]};
  clk =1;
  i=0;
  forever #10 clk=~clk;   
end

always@(posedge clk )
  begin
    data<=bmp_data[i];
    i<=i+1;
  end
$fclose(fileId);
$fwrite(out_file,"%d",$bmp_data)​​;
endmodule

 

 

 

  • 1
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值