自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(17)
  • 收藏
  • 关注

原创 Verilog中按键消抖检测的实现

Verilog按键消抖是FPGA学习时的一个入门教程,为避免眼高手低,还是再次分析与记录一下。此处着重介绍按键消抖的基本原理,对按键消抖与检测的关键技术进行分析,并进行功能仿真。一、按键消抖基本原理1、按键消抖必要性物理按键释放与按下时均会出现抖动,抖动时间约为5-10ms。这就意味着,在按键按下以及释放的5-10ms内,按键的输出为高电平还是低电平是不断抖动的,在0-1之间频繁切换。...

2020-03-30 09:12:46 4990

原创 Verilog实现FIFO专题5-异步FIFO设计(异步FIFO工作方式、异步FIFO介绍、异步FIFO介绍)

FIFO根据输入输出时钟是否一致,分为同步FIFO与异步FIFO。本文以异步FIFO与同步FIFO的异同入手,在比较过程中逐步对异步FIFO进行分析,介绍异步FIFO相比于同步FIFO的额外处理,最终实现异步FIFO,并进行了仿真、调试、以及验证

2020-03-25 09:57:31 4507 8

原创 亚稳态专题(亚稳态的概念、亚稳态的产生、亚稳态的后果、以及如何避免亚稳态)

亚稳态是FPGA系统中的一个常见问题,亚稳态的出现轻则导致输出错误,严重的甚至会导致系统崩溃。因此对亚稳态的了解必不可少,本文在查阅众多资料的基础上,对亚稳态的概念、亚稳态的产生原因、以及如何避免亚稳态进行了分析与记录。

2020-03-23 13:13:24 22886 13

原创 vivado报错 syntax error、dout is an unknown type

代码如下:错误提示如下:出错原因: 原查错思路:1、变量名拼写出错2、中文字符导致报错实际问题:赋值语句必须在过程块中,比如always块!就是组合逻辑也一样,而上述代码就是忘记了在always块中给变量赋值,导致错误;...

2020-03-21 12:05:33 11464

原创 Verilog中条件编译命令`ifdef、`else、`endif详解(用途、用法、仿真)

条件编译在针对不同EDA工具,选择不同激励、根据选择才执行一些功能等场合非常有用,本文对常见条件编译指令进行介绍:介绍了条件编译指令的用途、具体用法,并在此基础上进行必要仿真,验证了分析的正确性;

2020-03-21 11:36:21 15841

原创 亚稳态相关:三种复位方式详解---同步复位/异步复位/异步复位同步释放

对常见三种复位方式(同步复位、异步复位、异步复位同步释放)进行介绍与分析,详细介绍了各种复位方式的优缺点,并进一步分析了异步复位同步释放的工作机理与优势所在。

2020-03-20 12:20:23 4839

原创 DCM、PLL以及DLL等概念及详情

介绍DLL、PLL以及DCM的概念,总结其模块构成、工作原理、相互之间的差异与各自优势。

2020-03-20 09:34:15 6142

原创 Verilog实现二进制码与格雷码转换

格雷码是一种常见的编码方式,相邻状态间只有一位不同;这就意味着相邻两个状态间进行转换时,只有一位发生变化,因而极大的减小了出错几率。(反之,如果使用二进制编码,如011->100时三位均发生改变,因此出错的机率就会大大增加)。格雷码以及其余常见编码的知识详见:Verilog常见编码方式:二进制码、格雷码、独热码如上所述,格雷码作为一种错误最小化的编码方式,常用于状态机等多种场合。二进...

2020-03-17 20:52:56 4254

原创 FPGA/DSP编程思想---乒乓操作

乒乓操作是DSP以及FPGA中一种常见的处理方式,多用于数据流控制的场合。本文从实际例子出发,介绍了乒乓操作的思想,分析了乒乓操作带来的优势。问题:SAR实时处理系统的一个常见情况就是,数据接收模块录取雷达回波,数据处理模块对存储下来的数据进行处理(各种时频域成像算法)实现成像,成像的结果同样需要传输给输出模块。这就出现一个问题,我们一定希望回波的录取和处理是同时进行的,而不是二者只能交替工作...

2020-03-16 17:42:05 1607 2

转载 常见门电路逻辑符号对照(三态门,同或门,异或门,或非门,与或非门, 传输门,全加器,半加器,基本rs触发器,同步rs触发器,jk触发器,d触发器)

常用集成门电路的逻辑符号对照表:三态门,同或门,异或门,或非门,与或非门, 传输门,全加器,半加器,基本rs触发器,同步rs触发器,jk触发器,d触发器

2020-03-16 16:26:18 10090

原创 FPGA芯片供电总结

FPGA芯片在正常工作的时候需要系统提供三套供电机制——外部端口供电机制、内部逻辑供电机制和专有电路供电机制。

2020-03-06 13:21:32 5824

转载 数字系统中接口电平标准汇总

TTLL、VTTLL、VTTL3V3、LVTTL2V5、CMOS、LVCOMS、LVCOMS3V3、LVCOMS2V5...

2020-03-05 16:46:30 806

转载 ASIC、流片、SOC、SOPC概念; FPGA应用场景与方向

ASIC、流片、SOC、SOPC概念;FPGA应用场景与方向

2020-03-05 14:29:39 5980 1

原创 Verilog中fork join与begin end的嵌套使用---testbench的编写

介绍fork join以及begin end的嵌套,并展示了begin end于fork join相互嵌套用于测试文件的过程;

2020-03-05 11:03:41 6984

原创 Verilog实现RAM(7-异步双口SRAM:原理、实现、仿真、分析)

Verilog实现双口异步SRAM,进行原理介绍,Verilog实现,仿真验证,结果分析,以及电路综合结果,对SRAM有一个更深的认识;编写一个简单的RAM很容易,但是要编写一个稳定的,考虑全面的RAM绝非易事。这也是为什么推荐使用IP核的原因,但是此处为了了解RAM的工作流程,对RAM的简单功能进行仿真实现。

2020-03-04 18:09:17 7095 4

原创 单端口RAM、伪双端口RAM、双端口RAM、以及FIFO的区别

FPGA设计中,存储器是必不可少的。FPGA中常见的存储器件有RAM以及FIFO,RAM又可细分为单端口RAM、伪双端口RAM和双端口RAM。现重点将其进行比较,讨论其异同、联系、以及应用场合

2020-03-04 12:22:05 9594

原创 Verilog常见编码方式:二进制码、格雷码、独热码

Verilog常见编码方式有:二进制码、格雷码、独热码;三种编码方式各有特点利弊,因此有必要理解比较,以求不同场合的合理选择:目录一、结论:二、详细了解二进制码格雷码独热码比较三、参考一、结论:二进制编码: 优点:属于压缩状态编码,状态机所需的状态向量位数较少; 缺点:译码复杂;相邻状态变换时,多位发生改变,电噪声大,转换速度较慢,易出错;格...

2020-03-03 10:29:07 7222

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除