verilog 简单实验

在Verilog仿真中遇到无法查看信号波形的问题,通过去掉特定设置,成功显示所有变量。学习了`timescale`的含义及使用,理解了initial与always的区别,门原语与过程语句的规则,以及阻塞与非阻塞赋值的差异。文中还提到了Verilog设计的几种方式和异步复位的d触发器。
摘要由CSDN通过智能技术生成

每次选择stimulate就找不到信号,压根没法看波形啊

我查了一个晚上终于找到了解决方案




把这个钩钩去了,变量全部出现了!!

坑爹啊!!!


感谢原文章作者:

http://saint.spaces.eepw.com.cn/articles/article/item/88033



在verilog中,开头经常出现一行代码


<
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值