Verilog 实现斐波那契数列

本文介绍了如何使用Verilog语言通过for语句实现斐波那契数列,探讨了for循环在代码简化中的应用以及在硬件实现中的内存使用。
摘要由CSDN通过智能技术生成

Verilog 实现斐波那契数列

主要学习了for语句的使用(避免相似重复的语句,但实际硬件上还是一样的) 以及memory的用法:

`timescale 1ns / 1ps
module fibonacci(clk,rst_n,seq_out);

input clk;
input rst_n;
output  [12:0] seq_out;

reg[12:0] memory [19:0];
reg [4:0] addr;
integer i;
always @(posedge clk ) begin
    if (!rst_n) begin
        // reset
        addr <= 5'b0;
    
  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值