quartus II :DDR2使用-接口芯片MT47H64M16HR

1、tools-,在这里插入图片描述-interfaces-external mermory-DDR2,写入内核名字。
2、写时钟频率,如果要仿真最后需要勾选产生仿真程序选项
3、添加型号时候可能看不到MT47H64M16HR。需要手动添加内核到目录
4、生成过程中卡死。结束进程 quartus_map ,但是这样的内核在使用以后报错,用不了
5、把开发板工程拷贝过来,然后直接去掉工程文件。自己建立一个同名工程,这样32位系统的工程就可以在64位系统运行了,编译通过。但是这样的工程编译很慢
6、如下配置在这里插入图片描述
7、仿真结果
在这里插入图片描述

自己理解的要点。
1、无论读还是写。都要在local_ready为高的情况下进行
2、一切基准都是在local_ready=1,计数+1为基准的。
3、写,在每次写了以后local_ready变为0,之后拉高了,我们又一次给数据,给地址

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值