VCS安装

一、操作系统:

ISO:Centos7.9

二、软件包:

百度网盘链接:百度网盘 请输入提取码 

提取码:gbkc 

三、软件依赖:

yum install libXScrnSaver-1.2.2-6.1.el7 yum install redhat-lsb.i686 yum install libpng12

在家目录下创建tools文件夹存放所需要的安装包

先进入到/home/qiao/tools/synopsysinstaller_v5.0目录下,给SynopsysInstaller_v5.0.run执行权限,执行后可以查看到有个setup.sh文件

如果是root用户直接执行会有如图提醒:

解决:

./setup.sh -install_as_root

四、软件安装:

进入/home目录下,新建synopsys的文件夹,修改该文件夹权限;

给synopsys 777权限

注:详细的安装过程是第一个软件scl,2、3、4软件安装步骤和1一样(除了软件包的位置不同,四个软件的安装路径都是/home/synopsys)

1、scl_v2018.06

回到synopsysinstaller_v5.0目录下,运行“./setup.sh -install_as_root”开始安装,点击start,

按Next继续

 

选择安装包的路径,点击选择scl_v2018.06,

然后选择安装路径,点击选择我们之前新建的synopsys目录下(接下来的后面三个软件都是安装在/home/synopsys目录下)

 

等待其安装完成后点击【finish】,然后点击【Dismiss】,这样【scl_v2018.06】就安装完了

2、vcs_mx_vO-2018.09-SP2

回到synopsysinstaller_v5.0目录下,运行“./setup.sh -install_as_root”开始安装,点击start,然后默认点击【Next】即可

等待其安装完成后点击【finish】,然后点击【Dismiss】,这样【vcs_mx_vO-2018.09-SP2】就安装完了

3、vcs_vO-2018.09-SP2

回到synopsysinstaller_v5.0目录下,运行“./setup.sh -install_as_root”开始安装,点击start,然后默认点击【Next】即可

等待其安装完成后点击【finish】,然后点击【Dismiss】,这样【vcs_vO-2018.09-SP2】就安装完了

4、verdi-2018.9

回到synopsysinstaller_v5.0目录下,运行“./setup.sh -install_as_root”开始安装,点击start,然后默认点击【Next】即可

等待其安装完成后点击【finish】,然后点击【Dismiss】,这样【verdi-2018.9】就安装完了

五、环境设置

打开/home/qiao下的.bashrc环境文件,加入以下内容,注意对应安装路径和倒数第二行主机名是否正确

export DVE_HOME=/home/synopsys/vcs/O-2018.09-SP2

export VCS_HOME=/home/synopsys/vcs/O-2018.09-SP2

export VCS_MX_HOME=/home/synopsys/vcs-mx/O-2018.09-SP2

export LD_LIBRARY_PATH=/home/synopsys/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/LINUX64

export VERDI_HOME=/home/synopsys/verdi/Verdi_O-2018.09-SP2

export SCL_HOME=/home/synopsys/scl/2018.06

#dve

PATH=$PATH:$VCS_HOME/gui/dve/bin

alias dve="dve"

#VCS

PATH=$PATH:$VCS_HOME/bin

alias vcs="vcs"

#VERDI

PATH=$PATH:$VERDI_HOME/bin

alias verdi="verdi"

#scl

PATH=$PATH:$SCL_HOME/linux64/bin

export VCS_ARCH_OVERRIDE=linux

#LICENCE

export LM_LICENSE_FILE=27000@master

alias lmg_synopsys="lmgrd -c /home/synopsys/scl/2018.06/admin/license/Synopsys.dat"

输入完后source /home/qiao/.bashrc

六、软件破解

在命令行下输入hostname和ifconfig目录获取主机名和MAC地址

 

在windows下运行scl_keygen_2030.zip下的scl_keygen.exe,最好关闭杀毒软件,在【HOST ID Daemon】和【HOST ID Feature】填写MAC地址,要将:去掉,【HOST Name】填写主机名,【Port】选择27000。然后点击【Generate】即可生成Synopsys.dat文件

在打开当前目录下的【Synopsys.dat】文件,需要在第二行添加/home/synopsys/scl/2018.06/linux64/bin/snpslmd路径

lmg_synopsys #激活

优化启动

打开rc.local文件,加入如下内容

/home/synopsys/scl/2018.06/linux64/bin/lmgrd -c /home/synopsys/scl/2018.06/admin/license/Synopsys.dat

七、软件运行

source 之前设置好的环境

[root@master ~]# source /home/qiao/.bashrc [root@master ~]# verdi

 

八、测试

新建hello.sv文件,输入如下内容

module tb; initial begin $display("Hello World! I am William"); end endmodule

然后使用如下命令编译,因为我们使用的64位的vcs,所以要添加-full64参数,另外-sverilog参数表示支持SystemVerilog语法

然后执行当前目录的simv即可开始仿真

./simv

  • 4
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 7
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值