uvm_field_automation:UVM_COPY

1.COPY使用
uvm_field_automation中自带对copy函数的支持,在域段注册时,UVM_ALL_ON默认支持copy功能,而要关闭copy功能,需要用UVM_NOCOPY关闭即可.
在使用copy功能时,b.copy(a),那么b必须实例化之后才可以使用copy功能否则会上报object没有分配的错误.
2.copy没有句柄参数
在这里插入图片描述
将b中的m_reg_addr修改为’haabb,不会影响到a中的值.
在这里插入图片描述
3.copy中有句柄参数
现有的xaction中使用了其他的xaction,如reg_xaction中使用了new_reg_xaction:
在这里插入图片描述
如果在new函数中没有对m_new_reg例化,那么在reg_xaction中使用m_new_reg时,它为null;使用copy函数时同样复制为null值.
如果在new函数中对m_new_reg实例化,那么在copy时同样会对m_new_reg做实例化,分配不同的地址空间.从下图中可以看出分配的不同地址空间.
在这里插入图片描述

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值