【UVM 参数化的VIP】- config & seq_item

接着来看config和seq_item部分。传送门:

【UVM 参数化的VIP】- Agent_dajiao_zi的博客-CSDN博客

【UVM 参数化的VIP】- Driver_dajiao_zi的博客-CSDN博客

【UVM 参数化的VIP】- Monitor & Sequencer_dajiao_zi的博客-CSDN博客

class comm_config extends uvm_object;
     int m_wdg_cycle = 1000;
     //other config for different user
     //function new etc.
endclass

config里面除了放一些common的控制开关和option外,主要提供一些option给不同的user,default可以不开。当然需要一个特殊的控制也可以由user自己来补充对应部分的实现代码。

class comm_seq_item extends uvm_sequence_item;
    rand comm_req_seq_item  m_req;
    rand comm_resp_seq_item m_resp;
    `uvm_object_utils_begin(comm_seq_item)
     // register to factory
    `uvm_object_utils_end
    //function new
    virtual function void field_assign();//for user to override
        m_req.field_assign();
        m_resp.field_assign();
    endfunction
endclass

 顶层的seq_item里面instance 各个channel的seq_item,filed_assign函数也是报各个channel的集成到seq_item中调用。

        好了,到这一个完整的vip就都有了,后面我们来看怎么使用,以及好处在什么地方。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值