UVM实战学习笔记(一)APB VIP

学习完UVM入门课程后,进入到UVM实战阶段,遇到的第一个项目是对APB总线master和slave的验证IP开发。

第一个认识是APBmaster的VIP不是对APB master的验证,而是对master的验证语言实现。在之前的sv和uvm部分学习中,对一个模块的验证是从他的外部接口进行输入和输出对比,按照这个想法,对APBmaster的验证应该是构建硬件master的实际操作对象,生成seq来驱动实际硬件master,并将master硬件在总线上的驱动信号作为checker的输入与预期输入进行对比,从而验证APBmaster是否可以根据上层指令正确的驱动总线信号。但是VIP的用途并不是这样,验证IP用来植入到验证平台中,用来检查协议操作和接口,换句话说,APB master VIP是用来在验证环境中代替APBmaster硬件的,而不是验证APB master。

这一点也在之后的测试用例的实现的以表现,sequence的构建都是围绕master VIP部件,即master部分的driver用来接收seq_item并驱动APB总线的读写行为,而slave部分的driver存在接收seq_item的部分,但并不进行驱动,而是仅进行简单的response转发。关于APB VIP 的测试用例,都是由seq驱动master进行测试。

 

  • 6
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
基于UVM(通用验证方法)的APB(高级外设总线)VIP(验证 IP)开发流程主要包括以下步骤: 1.需求分析:对APB VIP的功能需求进行分析和定义,包括支持的APB协议版本、功能覆盖范围等。 2.设计架构:根据需求分析,设计APB VIP的架构,包括功能模块划分、信号接口定义、状态机设计等。 3.编写源码:根据设计架构,使用SystemVerilog等验证语言编写APB VIP的源码,包括各个功能模块的实现和通信接口的定义。 4.验证环境搭建:使用UVM框架搭建APB VIP的验证环境,包括搭建验证顶层模块、连接APB VIP和被测设计的接口、配置UVM环境等。 5.功能验证:利用验证环境完成APB VIP的功能验证,包括通过测试用例对APB VIP的各个功能进行验证和验证结果的检查。 6.性能优化:在功能验证的基础上,对APB VIP的性能进行优化,包括检查负载容量、优化时序和增加并行度等。 7.集成验证:将APB VIP与其他验证组件进行集成验证,包括与其他IP、测试平台、仿真测试工具的联合验证等。 8.仿真调试:使用仿真工具对APB VIP进行调试,包括波形分析、断点调试等,以确保其功能正确性和稳定性。 9.文档撰写:编写APB VIP的技术文档和用户指南,包括源码说明、验证环境介绍、验证方法等,便于其他工程师使用和理解。 10.发布和维护:经过验证和测试,发布APB VIP到验证工具库中,同时进行维护和更新,以适应新的需求和协议版本的变更。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值