inside 的坑

          最近代码里面有一句inside 判断语句,明明条件满足,但是就是判断失败,代码如下:

xxx;
 if(i inside {[7:0]}) begin //i==5
      xxx;
 end
xxx;

          翻看sv 手册才发现 inside 后面跟的是range value,必须是从小写到大,也就是必须写成 :

if(i inside {[0:7]}) ,这样才能起效。手册如下:

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值