FPGA逻辑神器vscode+iverilog+GTKWave

一、介绍
FPGA逻辑仿真搭建工程编译是一个耗时而麻烦的事情,这里有个工具可以实现一键秒编译仿真,最大限度的缩短编译和仿真的时间

vscode是一个很友好的代码编辑器,因为有代码补全的功能,很大程度的节约写代码的时间
iverilog是一个开源的工具,支持windows和linux平台,命令行操作编译仿真

二、环境安装
1、安装vscode
下载
https://vscode.en.softonic.com/
安装
一直默认就行
安装verilog支持包
在这里插入图片描述

自动例化支持包
在这里插入图片描述

支持vscode部分安装完成

2、安装iverilog+GTKWave
下载
链接:https://pan.baidu.com/s/1UjjR9WXM_a3qIroy_06_aQ
提取码:4q2f
两个包是一起的
一键安装就行
注意:要把环境变量添加勾上

三、使用
1、编写test.v

module counter(
    input clk, 
    input reset,
    input enable,
    output [7:0]out
    );
   

reg[7:0] out;

always @ (posedge clk) begin
    if(reset) begin
        out <= 8'b0;
    end else if(enable) begin
        out <= out + 1;
    end
end

endmodule

在这里插入图片描述
2、编写testbench,test_tb.v

`timescale 1ns/1ns

module test_tb; 

reg clk, enable, reset; 
wire [7:0] out; 

counter c1(.out(out), .clk(clk), .enable(enable), .reset(reset));
    
    initial begin
        enable = 1;
    end
    initial begin
        clk = 0;
        forever #10 clk = ~clk;
    end
    initial begin
        reset = 1;
        #15 reset = 0;
        #1000 $finish;
    end
    initial
    begin            
        $dumpfile("test_tb.lxt");  //生成lxt文件,记录仿真信息
        $dumpvars(0, test_tb);  //指定层次数,记录信号
    end 
endmodule

在这里插入图片描述
3、编写编译脚本build.bat

iverilog -o tb *v
vvp -n tb -lxt2
gtkwave test_tb.lxt
iverilog -tvhdl -o test.vhd test.v
pause

这个脚本是通用的,以后直接复制过去就行,不用重复编写
在这里插入图片描述
4、编译仿真
双击build.sh
编译+进入仿真界面不过秒级的时间

结果
在这里插入图片描述
添加波形
在这里插入图片描述
按住ctrl滚动鼠标可以放大缩小
在这里插入图片描述
5、语法错误检查
右键点击要检查的文件
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
6、自动例化
复制需要例化的模块
在这里插入图片描述
粘贴到要例化的地方
在这里插入图片描述
右键选择命令
在这里插入图片描述
在这里插入图片描述
然后看到就自动例化好了
在这里插入图片描述

  • 12
    点赞
  • 83
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值