【转载】关于conv_std_logic_vector 和 conv_integer 这两个函数的使用问题

本文详细介绍了在VHDL中std_logic_arith库的conv_integer函数及其使用,指出该函数不能直接将std_logic_vector转换为整数。同时,解释了std_logic_unsigned和std_logic_signed库中的相关转换函数,并给出了conv_std_logic_vector函数的转换原理和示例。在进行算法设计时,选择正确的库可以避免数据错误。
摘要由CSDN通过智能技术生成

源地址:http://blog.sina.com.cn/s/blog_622ef24f0100qlct.html

std_logic_arith
This is the library that defines some types and basic arithmetic operations for representing integers in standard ways. This is a Synopsys extention. The source code is instd_logic_arith.vhd and is freely redistributable.

The unsigned type
The signed type
The arithmetic functions: +, -, *
The comparison functions: <, <=, >, >=, =, /=
The shift functions: shl, shr
The conv_integer function
The conv_unsigned fun

  • 0
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值