VHDL5:VHDL实现比较器并仿真

目录

1. 示例说明

2. VHDL源码

3. 运行结果

4. 功能仿真结果


1. 示例说明

本例是一个比较器,用于比较两个位串所代表的整数的大小。

该比较器有2个输入端口: in1和in2

          1个输出端口: pout

其中输入端口和输出端口in1、in2、pout的类型为位向量;

如果in1小于in2,则pout输出为'1',否则输出为'0'。

2. VHDL源码

源码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

--USE IEEE.STD_LOGIC_ARITH.ALL;

-- 比较器

entity EG4 is

port(

                   in1:  IN STD_LOGIC_VECTOR(15 DOWNTO 0);

                   in2:  IN STD_LOGIC_VECTOR(15 DOWNTO 0);

                   pout: out bit             

);

end EG4;

architecture func of EG4 is

begin

         process(in1, in2)

         variable left: integer;

         variable right: integer;   

         begin

                   left  := conv_integer(in1);

                   right := conv_integer(in2);

                  

                   if(left < right) then pout <= '1' after 1ns;

                   else pout <= '0' after 1ns;

                   end if;

         end process;

end func;

3. 运行结果

4. 功能仿真结果

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

WendyWJGu

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值