Verilog中宏定义`define的使用

书中是`define宏定义+`inlude "file.v"文件包含来实现参数模块化设计的方式

 

实战:

1.新建参数模块文件(我命名为para.v);

2.在para.v文件中使用'define宏定义参数: 

    //`define+name+参数  
    `define   STATE_INIT     3'd0
    `define   STATE_IDLE    3'd1
    `define   STATE_WRIT   3'd2
    `define   STATE_READ  3'd3
    `define   STATE_WORK      3'd4
    `define   STATE_RETU  3'd5      //参数后面不能有分号

3.在需要调用参数的文件init.v中使用`include "para.v":

    `include "para.v"

4.在init.v文件需要参数的地方使用`name 调用(部分):

    state_init <= `STATE_INIT;

转载于:https://www.cnblogs.com/summerpine/p/11468367.html

  • 9
    点赞
  • 43
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog宏定义可以通过使用`define关键字来实现。宏定义可以用于实现代码的复用和条件编译。比如,在tb文件要实现时钟,可以使用宏定义来定义时钟周期,以便在不同的代码复用。例如,可以使用以下代码来定义时钟周期为20个时间单位,并在always块使用宏定义来生成时钟信号: `define clk_period 20 always #(clk_period/2) clk=~clk; 此外,宏定义还可以用于定义常量或状态。例如,可以使用以下代码来定义一些状态常量: `define STATE_INIT 3'd0 `define STATE_IDLE 3'd1 `define STATE_WRIT 3'd2 `define STATE_READ 3'd3 `define STATE_WORK 3'd4 `define STATE_RETU 3'd5 这样,在代码可以直接使用这些宏定义来表示不同的状态。 此外,宏定义还可以用于条件编译。通过使用`ifdef和`endif关键字,可以根据宏定义的存在与否来选择性地编译不同的模块。例如,可以使用以下代码来根据宏定义A的存在与否来选择性地编译不同的模块: `ifdef A module one ... endmodule `else module two ... endmodule `endif 这样,如果定义了宏A,编译器将编译module one;如果没有定义宏A,编译器将编译module two。 #### 引用[.reference_title] - *1* *3* [verilogdefine宏定义的用法——定义参数、条件编译](https://blog.csdn.net/m0_52040183/article/details/119750906)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [Verilog宏定义`define使用](https://blog.csdn.net/dipai7741/article/details/102063627)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值