Verilog的系统函数$display、

1、系统函数$display  

  作用是控制台输出信息

  $display("Start simulation")        //显示字符串

  $display("data_play = %h hex", 100)     //显示data_play的16进制数(或者其他进制)

  $display("Simulation time is %t", $time)     //显示仿真的时间

2、$fopen

  用法:<文件句柄>=$fopen("<文件名>");

  句柄就是任务$fopen返回的多通道描述符,默认为32位,最低位(第0位)默认被设置1,默认开放标准输出通道,即transcript窗口。

        每一次使用$fopen函数后都打开了一个新的通道,并且返回了一个设置为1的位相对应。默认应该是0001,以上每调用分别设置为0010 ,0100,1000(只考虑最低四位)。

  例子:读取文件

  define `DATA_LENGTH 8  //宏定义,定义数据长度

  reg   [15:0]  Sig0[`DATA_LENGTH-1:0];

  reg   [15:0]  Sig1[`DATA_LENGTH-1:0];    //定义RAM大小

 

  integer   data_file0;  //定义句柄

  integer  data_file1;  //定义句柄·

 

  • 2
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值