计数器的Verilog写法

  计数器是非常基本的使用,没有计数器就无法处理时序。我在学习时发现市面上有几种不同的计数器写法,非常有趣,在此记录下来:

一、时序逻辑和组合逻辑彻底分开(by锆石科技FPGA教程)

1.代码

 1 //======================================================================
 2 // --- 名称 : Count_1
 3 // --- 作者 : xianyu_FPGA
 4 // --- 日期 : 2018-12-10
 5 // --- 描述 : 模10计数器,0到10循环累加
 6 //======================================================================
 7 
 8 module Count_1
 9 (
10     input               clk                 ,
11     input               rst_n               ,
12     output reg [ 3:0]   cnt
13 );
14 
15 //----------------------------------------------------------------------
16 //--   组合电路
17 //----------------------------------------------------------------------
18 reg [ 3:0]              cnt_n                ;
19 
20 always @(*)begin
21     if(cnt == 4'd9)
22         cnt_n = 4'd0; 
23     else
24         cnt_n = cnt + 1'b1;
25 end
26 
27 //----------------------------------------------------------------------
28 //--   时序电路
29 //----------------------------------------------------------------------
30 always @(posedge clk or negedge rst_n)begin
31     if(!rst_n)
32         cnt <= 4'
  • 1
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值