UVA 11371

#include <iostream>
#include <string>
#include <algorithm>
#include <sstream>
#include <iomanip>
using namespace std;
static const char c_zero = '0';
 
int main(int argc, char** argv){
        string str_min, str_max;
        double d_min, d_max;
        while(cin>>str_min){
        while(!str_min.empty() && *(str_min.begin()) == c_zero)
                str_min.erase(str_min.begin());
                sort(str_min.begin(), str_min.end());
                str_max = str_min;
                reverse(str_max.begin(), str_max.end());
                if(*(str_min.begin()) == c_zero){
                        int i = str_min.find_first_not_of("0", 0);
                        iter_swap(str_min.begin(), str_min.begin() + i);
                }
                istringstream(str_max)>>d_max;
                istringstream(str_min)>>d_min;
                cout<<fixed<<setprecision(0)<<d_max<<" - "<<d_min<<" = "<<d_max - d_min<<" = 9 * "<<(d_max - d_min)/9<<endl;
        }
        return 0;
}


  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值