verilog实现带进位的4进制计数器

用verilog实现带进位的4进制计数器,不知道怎么写关于进位的计数器没关系,你一定见到过verilog写全加器,对的,进位位是单独的output,没错,博主脑子不够灵光,一开始在这里卡住了。
module JSQ (
 clk,
 rst,
 in_ena,
  cnt,
 carry_in
);
input clk;
input rst;
input in_ena;
output [3:0]cnt;
output carry_in;
reg [3:0]cnt;
reg carry_in;

always@(posedge clk or negedge rst)
  begin
    if(!rst)
   cnt<= 4'b0;
 else if (in_ena && cnt==4'b100)
   cnt<= 4'b0;
    else
   cnt<= cnt+1'b1;
  end
always @(posedge clk or negedge rst)
   begin
     if(!rst)
    carry_in<=4'b0;
  else if(in_ena && cnt==4'b100)
    carry_in<= 1;
  else
    carry_in <= 0;
   end
 
endmodule
就是这么简单,是我想的太复杂了

下面是仿真结果:


  • 2
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值