EDA与非门代码

该篇文章介绍了使用VHDL语言编写的简单逻辑门电路实体(ENTITY)和架构(ARCHITECTURE),包括输入端口、信号变量和一个基于信号c的条件过程。波形图展示了电路行为,同时欢迎读者指出可能存在的错误。
摘要由CSDN通过智能技术生成
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY Vhdl1 IS
PORT(a,b:IN STD_LOGIC;  --进程内的局部变量
		d:OUT STD_LOGIC);
END ENTITY Vhdl1;
ARCHITECTURE m1 OF Vhdl1 IS  --结构体
SIGNAL c:STD_LOGIC;   --信号全局变量
		BEGIN				--结构体开始
			c<=a AND b;   --a与b
			PROCESS(c)  --执行的进程
			BEGIN       --进程开始
				IF(c='1') THEN d<='0';
				ELSE d<='1';
				END IF;		--IF语句没用
			END PROCESS;   --进程结束
		END ARCHITECTURE m1;  --结构体结束

用的语言是VDHL

上图为波形图。

代码如有错误欢迎指正

  • 4
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值