EDA实验笔记一

软件工具:QuartusII
以制作三人表决器(与非门)为例
表决器真值表(同时有两人或两人以上同意,则通过):

输入

输出

a

b

c

y

0

0

0

0

0

0

1

0

0

1

0

0

0

1

1

1

1

0

0

0

1

0

1

1

1

1

0

1

1

1

1

1

QuartusII使用
1、建立工程、文件
1)  FileNew Project Wizard ……在相应位置输入项目名和文件名:BJQ.....之后一路next即可

2、新建原理图文件
2.1)FileNew选择第二项:block diagram….

2.2)选择器件,连线,画原理图。关于表决器的元件有 nand2nand3inputoutput。在下图圈中位置打开选择元件的窗口。



                        连接好的原理图


2.3)点击 start compilation 按钮,开始编译。(编译没有错误则可以继续下面的步骤)


3、新建波形仿真文件
3.1)FileNew   orther file--->Vector Waveform File:新建波形仿真文件

3.2)导入输入输出引脚并设置输入信号。
步骤:在空白处点击右键,出现Insert Node or Bus,--->按下Node Finer.如下


3.3)在下图点击list,选择需要加入波形仿真的结点。

3.4)导入结点后设置输入变量,选择右侧的时序进行设置。

3.5)执行processing→start simulation 命令,进行波形仿真,并记录仿真结果。

如下图


  • 4
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值