手把手教你安装 Vivado2022.2(附安装包)

​一、Vivado 2022.2 优势

Vivado 2022.2版本与之前的版本相比,具有以下几个显著的优势:

  1. 电源设计管理器(PDM):Vivado 2022.2引入了全新的电源设计管理器(PDM),这是一个下一代功耗评估平台,为Versal® 和 Kria™ SOM提供了准确一致的功耗估计能力。PDM是Versal产品家族的首选功耗评估工具,为Versal ACAP硬IP块提供增强向导,简化从XPE向PDM的迁移,帮助用户获得准确的功耗评估。

  2. 智能设计运行(IDR)增强功能:Vivado 2022.2版本为Versal器件和UltraScale+器件提供了IDR增强功能。这种基本无需用户干预的一键式时序收敛流程,使得Versal ACAP设计的QoR平均提高5%,UltraScale+™器件设计的QoR平均提高10%。

  3. 增量实现强化:Vivado 2022.2版本中的增量实现流程允许用户在后续的实现过程中直接使用以前工程的相同设计部分的实现结果,从而节省运行时间,提高运行结果的可预测性。

  4. 设计效率和生产力提升:Vivado 2022.2版本通过其高效的综合和仿真工具、支持多种编程语言和并行化设计流程,以及强大的开发板支持,进一步提升了设计效率和生产力。

需要注意的是,这些优势是基于与早期版本的比较得出的。具体优势可能会因实际应用场景和用户需求而有所不同。因此,在选择适合您需求的版本时,建议仔细评估您的具体需求和目标。

二、软件下载

wx 迪普微科技 消息页回复 Vivado2022.2 即可获取安装资源包。

(因安装包较大,全部下载完成后,解压一个即可)

三、软件安装

1、下载完成后,将安装包解压到一个全英文路径的文件夹中。这是为了避免中文路径可能导致的安装问题。解压后,找到安装包中的xsetup.exe文件并双击运行。

2、提示我们新版已可获得,我们忽略软件更新,点击 Continue:

3、下一步,点击“Next”开始安装过程。

4、Vitis适用于基于FPGA的高性能计算和加速器应用程序的软件开发;Vivado适用于FPGA硬件设计,是完整的FPGA设计套件,因此选择Vivado 完全足够,内存也相对较小。选择完毕,点击Next。

5、选择要安装的组件。对于FPGA开发,建议选择“Vivado HL Design Edition”。你也可以根据自己的需求选择其他组件。

6、这一步根据自己所需选择即可,选择完毕,点击 Next:

7、全部勾选 I Agree,点击右下角 Next:

8、选择合适的磁盘位置,安装路径不能有中文、空格等特殊字符。选择All users,在进行下一步,点击Next。

9、设置完成,点击“Install”安装。安装过程可能需要一些时间,请耐心等待。

10、安装中,时间略长,耐心等待:

11、安装过程中若需要安装一些驱动组件,点击安装。

12、弹窗提醒是否确认安装额外组件,点击确认。

13、同意许可协议,继续下一步安装,如下图所示。

14、进行安装,如下图所示。

15、安装完成,如下图所示。

16、等待直至整个安装完成,如下图所示。

17、安装完成后打开许可证管理软件,点击 Load License ---> Copy License:

18、找到下载好的许可证文件,点击打开:

19、许可证安装成功,点击确定,安装完成:

20、点击 View License Status 可查看软件许可状态:

四、启动程序

安装并配置完成后,你可以通过双击桌面上的Vivado2022.2图标或从开始菜单中找到并启动它。

  • 8
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值