CORDIC实现FPGA复数求模(Xilinx CORDIC IP 6.0)

1.定点数基础及验证

使用CORDIC求取角度等一系列运算的输入和结果通常不是int16或者int32、uint16或者uint32能表示的,必须使用小数。在这里,Xilinx对很多核使用了定点数表示方法。很多从MATLAB生成的HDL文件也使用了这种办法。

下面介绍常CORDIC核对复数求模用的定点数表示方法。

如:fix16_14,表示数由16bit组成,其中有2位表示整数,有14位表示小数。

如fix16_14表示的数: x=16'b0011_0000_0000_0000,

其中整数部分为:x[15:14]=2'b00,等于0*(2^1)+0*(2^0)=0;

小数部分为:x[13:0]=14'b11_0000_0000_0000,等于1*(2^-1)+1*(2^-2)+....= 0.75;

------------------------------------------------------------------------------

假设输入的数为y=x+jx,我们可以计算得到(MATLAB函数):

绝对值: abs(y) = 1.0607;

相角:phase(y) = 0.7854;(pi/4)。

那么这个绝对值,应该表示成什么呢?

-----------------------------------------------------------------------------

在MATLAB中输入:

x = 0.75;
y = x + 1i*x;
yabs = abs(y);
a = fi(yabs,1,16,14)

b = bin(a)
c = bin2dec(b)
d = dec2hex(c)

最后一行的输出就是 43E2, '0100001111100010'。

 2.IP核设置

 

 仿真测试代码:

`timescale 1ns / 1ps


module tb_cordic_translate(

    );

    reg clk;
    wire m_axis_dout_tvalid;
    reg s_axis_cartesian_tvalid = 0;
    wire [31 : 0] s_axis_cartesian_tdata;
    wire [31 : 0] m_axis_dout_tdata;
    
    wire [15:0] x_abs   = m_axis_dout_tdata[15:0];  //abs(15:0) fix16_14; 
    wire [15:0] x_phase = m_axis_dout_tdata[31:16]; //Phase(31:16) fix16_13;
    
    reg [15:0] x_r = 0;
    reg [15:0] x_i = 0;
    
    always #12.5 clk = ~clk;
    
    reg [5:0] cnt = 0;
    always@(posedge clk) cnt <= cnt + 1;
    always@(posedge clk) 
    case (cnt[5])
        'b0: begin x_r <= 16'b0011_0000_0000_0000;  x_i <= 16'b0011_0000_0000_0000; end //fix16_14   0.625/0.625
        'b1: begin x_r <= 16'b0010_0000_0000_0000;  x_i <= 16'b0001_0000_0000_0000; end //fix16_14   0.25/0.5
    endcase
    
    assign s_axis_cartesian_tdata = {x_i, x_r}; 
    
    initial begin
        #0 clk = 0;
        #100 s_axis_cartesian_tvalid <= 1;
    end    
    
cordic_translate u_cordic_translate (
  .aclk(clk),                                        // input wire aclk
  .s_axis_cartesian_tvalid(s_axis_cartesian_tvalid),  // input wire s_axis_cartesian_tvalid
  .s_axis_cartesian_tdata(s_axis_cartesian_tdata),    // input wire [31 : 0] s_axis_cartesian_tdata
  .m_axis_dout_tvalid(m_axis_dout_tvalid),            // output wire m_axis_dout_tvalid
  .m_axis_dout_tdata(m_axis_dout_tdata)              // output wire [31 : 0] m_axis_dout_tdata  
);    
 //input  Real(15:0) fix16_14; Imag(31:16) fix16_14
 //output Real(15:0) fix16_14; Phase(31:16) fix16_13 
    
endmodule

3.仿真结果

 最后仿真结果可以看出:和MATLAB完全对应。

值得注意的是,查看定点数,需要选择信号,然后右键 Radix->Real Settings

选择Fixed point,根据符合和小数位宽选择和填入,仿真窗口就会显示出小数形式的数据。

  • 4
    点赞
  • 47
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值