2020-10-01

转载或原创(一)

高云FPGA GW2AR18

对于高云的signalTap(逻辑分析仪),名为.gao 的文件。此处简单的写一下配置过程:
1) 新建.gao文件,然后双击代开配置界面,如下图,简单来说,就是配置触发信号,可以配置多个触发条件,在当前工程中,可只用一个触发条件,匹配单元选择M0即可,若做其他的复杂些的工程,可根据需要添加M1~Mn,自己修改即可,
在这里插入图片描述2)配置Capture Options : 主要选择添加触发时钟信号,选择是上升沿触发还是下降沿触发,采样深度等等,触发深度可选择默认,下方会显示占用必然的数量。Capture Signal 可添加需要抓取的信号,点Add选择信号即可,修改完成后保存,重新生成bit文件,下载板卡后,
在这里插入图片描述在这里插入图片描述3)在.gao 页面会有下载代码部分,可以通过Progrommer 下载,也可用.gao下载。
接下来就可以进行板级调试了。
在这里插入图片描述

软件操作本质上比较简单,有quartus使用经验的,基本上很容易上。

后续会更新一些其他的东西,包括在该开发板上验证的东西,有兴趣可继续关注。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值