Verilog中#的使用与理解

我在verilog中test_bench中遇到这样的问题,记录一下。

timescale 1ns / 1ps

基本知识:1ns=1000ps
含义为:时延单位为1ns,时延精度为1ps。

简而言之,前面是总时间,后面是每个单元的时间间隔。

#的使用

#是延时的意思,跟timescale中的时延单位有关。

`timescale 1ns / 1ps

module top_add5_tb(

    );
	reg				a=0;
	reg				b=0;
	
	wire			c;
	top_add5 top_add5_inst(
		.a(a),
		.b(b),
		.c(c)
    );
	
	initial	begin
		a=0;
		b=0;
		#1
		a=0;
		b=1;
		#1
		a=1;
		b=1;
		#1
		a=1;
		b=0;
		#10;
		$stop;
	end
endmodule

这里的#1就是时延1ns,跟timescale后面之前的数字单位保持一致。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值