HongkeFPGA的博客

广州虹科FPGA事业部

  • 博客(44)
  • 资源 (3)
  • 收藏
  • 关注

原创 camera-link相机模拟器:仿真相机生成图片数据流

CamSim™是一个灵活的高性能相机模拟器,可为图像采集卡生成Cameral Link或CoaXPress视频流和测试图案。该系统支持所有Camera Link™规范v2.0配置、CoaXPress标准规范1.1版和任何所需的用户接口。该相机模拟器可以实现在一个低成本的安静的实验室环境中进行大部分机器视觉的项目开发。因此,CamSim可以极大地提高生产力,降低了开发视觉和成像系统的总体费用。同时CamSim数据流重复能力确保算法得到验证,并在相关的输入下按预期工作。此外,一旦检测到一个罕见的错误,其各自

2021-05-27 09:42:16 2017

原创 图像采集卡 | 以每秒千兆像素的速度进行图像处理

以每秒千兆像素的速度进行图像处理利用新型的处理硬件架构,以10至100 Gbit / s或更高的速度处理视频流.背景诸如3D成像,虚拟现实和广播之类的高级视觉系统依赖一个或多个高分辨率,高速相机。 这些摄像头系统每秒捕获并传输多个千兆像素,这些像素需要实时处理或压缩。 尽管摄像头传感器技术和支持接口正在迅速发展,但是传统的基于PCIe/CPU/GPU的PC体系结构却缺乏以这种数据速率捕获,处理和存储图像所需的性能。基于高端FPGA的图像采集卡具有超快的收发器和巨大的板载内存带宽,为实时处理和

2021-05-20 13:44:10 2044 1

原创 FPGA加速卡:从芯片板卡到系统集成

应用广泛的FPGA芯片板卡支持各种接口、协议,提供超高速并行化数据处理能力,作为加速数据处理的重要组件,FPGA已经开始了它在数据中心领域的广泛使用。除了像边缘数据采集传输、处理之外,FPGA也逐渐开始进入其他类型和规模的数据中心,并在大数据处理、AI、网络功能加速等领域扮演者重要的角色。基于FPGA的应用系统集成在这些基于大数据浪潮的全新应用中,FPGA厂商也在不断的探索和尝试新的FPGA推广方法。他们的最终目的非常简单,就是让更多的用户使用自己的FPGA产品。但在这个过程中,我们可.

2021-05-20 11:02:47 1127 1

原创 HawkEye-20G:20 Gbps Arria-10 FPGA加速卡

HawkEye是基于Intel Arria 10 FPGA的薄型PCIe加速器。该平台拥有高达18 GB的DDR4板载内存,2条SFP +链接,最高速度为28 Gb / s,以及一个PCIe x8 Gen 3主机接口。 Arria 10 FPGA可提供多达480K LE和IEEE浮点功能。 HawkEye的内存方案包括嵌入式SRAM内存,其吞吐能力高达128 TB / s,1-2 GB DDR4和高达16 GB的DDR4 SoDIMM(仅适用于480个设备)。 DDR存储器可以同时通过多达48个并行端口进行

2021-04-02 17:33:10 675

原创 超紧凑FPGA模块 | 超高性能Stratix10MX HBM2模块——Proc10M

Proc10M的大型FPGA、巨大的内存带宽和1,600Gb/s的IO相结合,实现了前所未有的处理水平、系统紧凑性和性价比。 Startix10 MX比分立DDR4和QDR存储器提供10倍以上的DRAM和SRAM带宽。Proc10M模块旨在使这种强大的技术在计算机、嵌入式系统和边缘计算中易于使用和立即获得。

2021-03-26 11:33:08 811

原创 FPGA高性能可扩展计算加速器 | 虹科Proc10S

FPGA高性能可扩展计算加速器——虹科Proc10S将数据处理能力推向新的高度,其单精度峰值性能高达10 TFLOPS。 Proc10S具有Intel Stratix 10 FPGA,具有多达280万个逻辑元素,260 GB DDR4内存以及SoC四核 64位ARM Cortex-A53 MPCore处理器的选件。

2021-01-22 17:44:14 833

原创 机器视觉 | FPGA | 基于Camera Link的帧抓取和图像处理功能板卡——HawkEye-CL

HawkEye-CL Camera Link帧抓取和图像处理系统HawkEye-CL 帧捕获和实时图像处理系统提供了支持最苛刻的视觉和成像应用所需的核心基础设施。HawkEye系列提供了许多选项来满足多样化的应用需求,从即插即用的高性能图像采集卡到包括采集、开放式FPGA图像处理和灵活的自定义摄像头接口的完整系统解决方案。HawkEye-CL符合Camera Link修订版2.0,并支持80位Camera Link模式,包括10位/ 8抽头和8位/ 10抽头模式。 HawkEye-CL系列基于P

2021-01-15 17:52:45 1092

原创 FPGA计算加速板卡 | Proc10A PCIe x8 (Gen. 3)

FPGA计算加速板卡| Proc10A PCIe x8 (Gen. 3)关键特性·Altera Arria 10 FPGA (GX, SX), 1150·PCIe x8 Gen. 3 或独立·高达 15个14.2 Gb/s 可重新配置的收发器,支持多种协议和数据速率·外形尺寸:PCIe半长·高达每瓦40 GFLOPS·1个QSFP , 3个SFP+和Gidel高速连接器·多级内存结构(32+GB)内部存储器的持续吞吐量为128+TB/s,板载内存的持续吞吐量约为16 GB/s

2021-01-08 15:53:19 1355 8

原创 为Raspberry Pi、Arduino提供ARM&FPGA混合SoC

1. Snickerdoodle---高速计算FPGA平台snickerdoodle是一个边缘计算平台,可实现高完整性物联网系统的快速开发和商业化。snickerdoodle非常适合中量应用,可缩短产品开发时间。由于工程师可以使用同一个平台进行软件开发和系统测试,因此可以提供设计重用。使用snickerdoodle进行评估和开发很容易。每个snickerdoodle SoM都包含七个高密度连接器,用于I / O扩展和向许多底板之一供电。 考虑到典型的snickerdoodle最终应用场景,其坚.

2020-12-28 09:39:41 739 6

原创 基于FPGA的自动导航系统智能车

基于FPGA的智能车自动驾驶系统设计是很多高校大学课程的热门课题,既能增强电路设计和硬件基础,还能锻炼编码能力,但也会因为FPGA板卡的尺寸问题导致固定困难,既不美观亦不便利。

2020-12-17 17:59:41 3622 6

原创 名片大小的FPGA?方便固定又坚固耐用

是否因为FPGA板卡面积过大不好固定到设备上而烦恼?是否因缺少Wi-Fi或者蓝牙模块需要外连转接设备而烦恼?虹科为您提供仅名片大小的带有Wi-Fi和蓝牙的FPGA开发板——snickerdoodle,适用于从计算机视觉到视频编码和处理,再到自动化,机器人技术,实时控制等。

2020-12-04 17:50:45 680 4

原创 虹科FPGA|更便捷的开发调试&更直观的总线性能分析

虹科为您提供优质的FPGA调试工具以及AMBA(AXI)总线性能分析工具,帮助FPGA开发设计更便捷的调试,大幅缩短调试周期;为SoC和ASIC开发提供更精准的AXI总线性能分析,提高总线利用率。

2020-11-27 15:56:27 624 5

原创 FPGA调试工具|缩短FPGA视频处理调试周期

用户进行帧图像处理设计随着工程需求的提高变得越来越复杂,复杂的设计变得越来越难以调试:在何处设置探针,重复进行编译,放置和布线,查看,重置探针,一遍又一遍。调试中重复过程花费时间过多,很少有时间调试根本原因。,使用虹科FPGA调试工具在视频处理设计中进行调试并大幅缩短调试周期

2020-11-18 16:22:01 1128 8

原创 FPGA调试工具:调试图像处理中的错误

VSTAR能够检测到图像旋转处理电路读取/写入的地址中的缺陷。探测到的信号是AXI的写入地址,并检查了某些位的周期性变化。通过使用VSTAR的自动规则提取功能提取的规则,而无需设置任何用户触发条件,可以自动检测有缺陷的部分并获取波形。通过检查波形,我们能够发现电路缺陷。

2020-11-13 15:10:55 758 1

原创 虹科AXI性能监视器辅助zynq开发调试

APM,AXI Performance Monitor,AXI性能监视器。AXI(Advanced eXtensible Interface)是一种面向高性能、高带宽、低延迟的片内总线,能够使SoC 以更小的面积、更低的功耗,获得更加优异的性能。APM是专门针对赛灵思的zynq开发设计进行的调试,监控运行中AXI总线上数据传输性能,从而在调试过程中有针对性的提高AXI总线利用率。

2020-11-11 16:26:49 2113 7

原创 图形SoC添加USB控制器IP | 使用varon在事务级别监视AXI

1. 项目概述项目概况:• 现有的消费类图形SoC +添加USB控制器IP–许多用于图形的AXI4总线主控–2个DDR控制器(AXI4从站)–AXI互连(AXI4)–USB IP(AXI4主设备)问题:• 新SoC的DDR上需要以更高的成本确保更高的带宽,或者需要确认带有两个DDR控制器的现有总线互连系统中的USB是否有足够的空间。✓USB IP的AXI事务应在微帧内(125微秒)。✓现有的图形IP的AXI事务应采用VSYNC(帧同步)(60 FPS)。• .

2020-11-04 16:14:40 607 2

原创 利用vstar捕获FPGA设计中的信号进行逻辑调试

vstar是一款fpga逻辑分析工具,能够根据源代码自动提取事件转换规则,监测添加到vstar IP的信号发生顺序以确定设计是否存在逻辑错误,缩短开发调试周期。

2020-10-29 10:53:30 633

原创 VSTAR设计窗口及监测信号的选择

通过状态机转换和定时间隔自动生成设计规则,VSTAR能够监测FPGA上信号序列的发生顺序以及从而判断用户设计是否存在逻辑错误或者系统漏洞,能够大幅缩短调试周期。对于使用Xilinx FPGA的系统,通过嵌入VSTAR IP来检测信号序列是否正常。本节主要介绍VSTAR的设计窗口(Design Window)及如何嵌入VSTAR IP并选择监测信号。1. 设计窗口在VSTAR 快速启动窗口选择vivado项目文件(.xpr文件)之后,将打开设计窗口。可为待调试的目标定义实例或信号。1.1 RTL.

2020-10-23 14:41:03 1748 1

原创 FPGA信号序列监测工具——VSTAR

VSTAR是一款通过监测FPGA上信号序列的发生顺序从而判断用户设计是否存在逻辑错误或者系统漏洞,能够大幅缩短调试周期。本节详述了VSTAR的工作原理,带你进一步了解虹科VSTAR。

2020-10-21 14:03:56 1080

原创 VARON安装教程(Linux-CentOS系统)

VARON安装要安装VARON,必须安装Qt。 VARON监视器GUI需要Qt 5.12.2,但CentOS的默认qt5-qtbase软件包版本是5.9。 本文将逐步介绍Qt安装,VARON监视器GUI安装和VARON许可证管理器安装。1.1 下载Qt 5.12.2并运行安装程序首先,应通过以下命令安装EPEL附加软件包。%sudo yum install epel-release接下来,应通过以下命令下载Qt 5.12.2。%wget https://download.qt..

2020-10-14 10:04:45 2114 6

原创 VARON支持图表类型及其所指标的性能

1. VARON支持图表类型VARON支持3种类型的图表,数据直方图"Data Histograms"、时序图"Time Series"和总量" Total Amount "。本文解释了每种图表类型。1.1 数据直方图数据直方图有以下3种类型。 要打开,请从VARON监视器窗口中选择“Charts-> Data Histograms”菜单。 图1显示了数据直方图图表选择的示例。本示例的所有菜单均显示“by port”,但是可以通过从“Selection Dialogue”中更改为“by

2020-10-14 09:42:20 1087

原创 VARON IP的生成、连接、嵌入与执行

嵌入VARON IPVARON的第一步是在生成VARON IP之前创建具有探测信号列表的配置文件。 VARON IP由Verilog HDL编写,允许用户使用用户电路进行仿真。生成完成,并使用VARON IP运行RTL仿真并执行性能分析。1. varon_ip_tool命令“varon_ip_tool”命令是VARON生成并连接到用户电路命令。该命令需要配置文件和用户创建的连接文件。“varon_ip_tool”的帮助信息如下所示。% varon_ip_tool -help用法.

2020-10-12 15:35:58 1013

原创 VARON设计流程及示例

本文介绍有关使用VARON的设计流程和基础示例的介绍。设计流程 图1显示了VARON的设计流程。 第一步,将VARON IP导入用户的目标设计。 VARON IP用RTL(Verilog HDL)编写。 这允许用户将VARON IP导入到Verilog HDL或VHDL编写的用户设计和环境中。第二步是选择要观察的AXI信号,然后完成VARON IP配置并注入用户设计。 VARON IP实施完成后,运行仿真,将选择的信号数据提取到文件中并进行仿真。 最后,启...

2020-10-10 12:45:38 1867 3

原创 Elementor教程:WordPress零基础建站(非常详细图文教程)

很多人认为建站太难了,又要懂代码、网页设计,数据库,又要配置服务器等等,无从下手。那怎么办?曾经的不可能,现在可以轻易的去现实它,这里教大家如何零基础用页面构建器插件 Elementor主题(超轻框架) ,快速学会用 WordPress 建立你理想中的网站。Elementor 页面编辑器Elementor是目前最强大的页面构建器。完全拖放式可视化编辑,是WordPress中最快,最直观的编辑器。只需拖放即可自定义,对于新手非常友好,非常易于使用和掌握。无需编码;生成的页面代码紧凑且针对每个设备..

2020-10-09 15:28:46 21664 12

原创 HLS(High-Level Synthesis)详解——循环体并行优化

HLS高级综合能够实现软体代码的硬件加速,主要是因为其对代码中的循环体(for,while)等进行了并行性优化,采用流水,展开,合并,嵌套,数据流等方法,将软体中需要一步步执行的循环体,在硬件电路中实现并行化处理,从而大幅提高计算速度,正好应对当下这种高计算量的需求。本博客讲解一下循环体优化的一些方法,以及一些特殊循环体的优化(嵌套for循环,变量边界循环体),参考b站赛灵思官方HLS介绍视频。参数指标综合报告参考的指标参数,较为重要,如下图:Loop Trip Count:循环总次数

2020-09-29 18:12:41 3836

原创 High-Level Synthesis高级综合,跨越软硬件编码的隔阂

能将C语言转换成硬件编码语言的高级综合HLS,跨越软硬件编码的隔阂,将软件编码的功能性与硬件编码的高速性结合起来,借助一些不断改进中的HLS工具(FPGA三巨头争破头),已然构成了一个时新的行业。虽然大多数HLS工具能够自动将一些循环体或者接口进行优化,但其软体转换而来的结构始终难以达到资深硬件工程师的标准,故而目前HLS还是需要人工操作,针对软体源代码进行更细节的优化,并且已经有HLS工程师这一说,不过其要求比较苛刻,软体编程和硬件编程是基础,熟悉各种算法和数字电路是闪光点。行业背景FPGA设计挑

2020-09-27 16:36:11 1890

原创 FPGA开发设计流程总结

FPGA的开发流程是遵循着ASIC的开发流程发展的,发展到目前为止,FPGA的开发流程总体按照图1进行,有些步骤可能由于其在当前项目中的条件的宽度的允许,可以免去,比如静态仿真过程,这样来达到项目时间上的优势。但是,大部分的流程步骤还是需要我们循规蹈矩的去做,因为这些步骤的输入是上一个步骤的结果,输出是下一个步骤的输入的关系,这样的步骤就必不可少了。FPGA开发的具体难度,与软件开发有输入、编译、链接、执行步骤对应的就是设计输入、综合、布局布线、下载烧写,FPGA开发只是为了确保这核心实现主干路每一

2020-09-25 09:31:17 10461 17

原创 Linux修改~/.bashrc或/etc/profile设置环境变量

简介 在虚拟机Linux系统上装一些软件经常会碰到需要自己设置环境变量的情况,Windows上装过Anaconda的小伙伴都知道环境变量是个很重要又很神奇的东西(感觉anaconda就是一个python专用虚拟机),但是相比于Linux而言Windows上设置环境变量已经方便多了。 本博客主要结合博主在CentOS7 Linux系统上安装VCS(这个后续有空再次次安装会截图并出博文)以及VARON的经历总结Linux上环境变量的设置问题,一文教你认识环境变量。什么是环境变量...

2020-09-23 14:06:24 8790

原创 赛灵思FPGA——ZYNQ介绍

参考:http://www.zynqbook.com/ZYNQ架构 双核ARM Cortex-A9 处理器:ARM Cortex-A9 是一个应用级的处理器,能运行完整的像Linux 这样的操作系统 传统的现场可编程门阵列(Field Programmable Gate Array,FPGA)逻辑部件:基于Xilinx 7 系列的FPGA 架构 这个架构实现了工业标准的AXI 接口,在芯片的两个部分之间实现了高带宽、低延迟的连接。这意味着处理器和逻辑部分各自都可以发挥最佳的用途,

2020-09-21 09:23:45 4938

原创 VSTAR教程(二)系统运行后监控事件运行并查看观测信号波形

上一讲配置好VSTAR IP到工程的软连接以后,就可以在vivado重新生成插入VSTAR IP 后的bit流文件下载到FPGA开发板上,从而进一步监控和观测。一、启动 Vivado 进行合成和实现1. 打开 Vivado 中的示例项目。根据VSTAR_DUT,确认已添加VSTAR IP( i_VSTAR_TOP) 。 请保持 VSTAR 打开状态。2. 综合和实现完成后,将比特流写入目标FPGA。FPGA 工作后,关闭 Vivado 项目。二、打开 VSTAR 上的调试窗口...

2020-09-18 17:30:46 764

原创 FPGA——数字电路崛起的新星

FPGA简介  FPGA(Field Programmable GateArray)于1985年由xilinx创始人之一Ross Freeman发明,虽然有其他公司宣称自己最先发明可编程逻辑器件PLD,但是真正意义上的第一颗FPGA芯片XC2064为xilinx所发明,这个时间差不多比摩尔老先生提出著名的摩尔定律晚20年左右,但是FPGA一经发明,后续的发展速度之快,超出大多数人的想象,近些年的FPGA,始终引领先进的工艺。FPGA的基本特点相较于传统的集成芯片,FPGA具有如下特点:  .

2020-09-18 09:43:10 2716 1

原创 VSTAR教程(一)连接观测信号到VSTAR IP

一. Vivado需求:本软件需要在 Vivado 中执行逻辑综合,所以需要提前安装好 Vivado。本教程中演示项目的电路尺寸较小,能够较快完成综合与实现。Vivado 的安装是必须的,因为 VSTAR 使用 Vivado 的 JTAG API 实现与 FPGA JTAG 接口的通信。二. 将示例 Vivado 项目拷贝到PC。本教程中使用8group_8event_8branch_for_for_Zybo_Z7_10例程。本教程保存在 c:/temp 目录。 请务必将...

2020-09-11 18:15:58 1294 1

原创 Verilog编程之条件编译命令`ifdef

简述用Verilog编程经常会碰到有时候会有不同的条件约束,或者自己尝试设计时不想删掉原来可能已经成功但是需要优化的代码,一般情况下都是直接//或者/*给注释掉方便以后查看和修改。直到我发现条件编译命令`ifdef这种好东西,顺带还强化了解了一波宏指令。宏定义`define、宏调用`include。条件编译命令`ifdef// `define KC705 // 7 Series Kintex differential clock=6.4 ns period, 156.250 MH

2020-09-10 09:59:43 1462

转载 verilog语言中的综合与不可综合

(1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter。(2)所有综合工具都不

2020-09-09 10:00:09 1747

原创 Verilog中#的另一种用法:模块外定义常参#(parameter ...)以及调用时修改参数#(10,2)

简介Verilog中用parameter 常参名(一般全大写) 常数语句来定义常参,parameter的位置也比较灵活,本文主要介绍一种在model的描述代码块外定义的常参。例子如下:module exam_prj#(parameter WIDTH=8) //端口内的参数只能在这定义(input [WIDTH-1:0] dataa,//[WIDTH-1:0]input [WIDTH-1:0] datab,output reg [WIDTH:0] result);Parameter

2020-09-08 10:50:07 10896 2

原创 Verilog编程技巧之(括号)、[中括号]、{大括号}用法(对比matlab)

简述在初步接触到Verilog的括号时经常会将其和matlab矩阵的各种(括号)、[中括号]、{大括号}搞混。Verilog中括号的应用Verilog语言中,除去这些括号在计算优先级中的作用(一般也只会用到小括号加优先级)。(括号)主要用于函数模块传参,例如自定义函数 fuc_name后面括号内定义的input、output,或者一些if、always语句后面括号内添加判定信息(其实也就是函数传参)。[中括号]用于位数声明,例如定义reg信号的位数,或者调用某信号的位数:reg [7:0]

2020-09-07 14:10:08 10373 1

原创 AXI 总线 事务性能分析仪—VARON

VARON是一款针对IC设计的硬件仿真进行优化的软件,运行于Cent OS Linux系统,需要有一定的VCS使用基础,适用于集成度偏高的IC设计。VARON通过自主研发的IP连接到开发系统,并监视AXI总线上的多种属性和性能,从而使开发者能够更直观的观测系统的运行情况,从而有针对性的进行优化调整。

2020-09-04 16:11:42 1336 1

原创 AMBA3.0协议——AXI(Advanced eXtensible Interface)总线介绍

AXI(Advanced eXtensible Interface)是一种总线协议,该协议是ARM公司提出的AMBA(Advanced Microcontroller Bus Architecture)3.0协议中最重要的部分,是一种面向高性能、高带宽、低延迟的片内总线。它的地址/控制和数据相位是分离的,支持不对齐的数据传输,同时在突发传输中,只需要首地址,同时分离的读写数据通道、并支持Outstanding传输访问和乱序访问,并更加容易进行时序收敛。AXI 是AMBA 中一个新的高性能协议。AXI 技术丰

2020-09-04 10:54:32 1764

转载 AXI 总线详解

综述本文我们详解AXI,在ZYNQ中有支持三种AXI总线,拥有三种AXI接口,当然用的都是AXI协议。其中三种AXI总线分别为:AXI4:(For high-performance memory-mapped requirements.)主要面向高性能地址映射通信的需求,是面向地址映射的接口,允许最大256轮的数据突发传输;AXI4-Lite:(For simple, low-throughput memory-mapped communication )是一个轻量级的地址映射单次传输接口,占用

2020-09-04 10:48:08 17768 1

原创 CSDN初始Markdown编辑器教程文档代码版

@[TOC](这里写自定义目录标题)# 欢迎使用Markdown编辑器你好! 这是你第一次使用 **Markdown编辑器** 所展示的欢迎页。如果你想学习如何使用Markdown编辑器, 可以仔细阅读这篇文章,了解一下Markdown的基本语法知识。## 新的改变我们对Markdown编辑器进行了一些功能拓展与语法支持,除了标准的Markdown编辑器功能,我们增加了如下几点新功能,帮助你用它写博客:1. **全新的界面设计** ,将会带来全新的写作体验;2. 在创作中心设置你喜爱..

2020-09-04 09:33:17 369

AXI总线监控用法及案例.pdf

AXI总线监控性能分析介绍及案例

2021-04-07

FPGA逻辑分析仪用法及案例.pdf

FPGA 错误分析工具用户手册 FPGA逻辑分析仪之内嵌IP式信号监控逻辑分析介绍及案例

2021-04-07

ZedBoard Getting Started Guide——GS-AES-Z7EV-7Z020-G-V7-1.pdf

ZedBoard Getting Started Guide Version 7.0 ——赛灵思 zedboard简要介绍pdf,涵盖zedboard主要参数和一些demo演示

2020-09-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除