systemverilog dpi简单使用

一、import基本格式

     1.外部函数导入到SV中

import “DPI-C” [context|pure c_name]  [c_name=] function data_type sv_name([params]);

     2.外部任务导入

  import  “DPI-C” [context] [c_name=] task sv_name([params]);

    加了pure修饰的方法,表示该方法只能使用通过形参传入的数据,不能使用该函数外的其他数据或者方法,也不能进行文件操作。而context是可以的。另外pure不能修饰引入的task。[]表示可选的。

二、export基本格式

     1.函数外导

export "DPI-C" [c_name =] function sv_name;

      2.任务外导

export "DPI-C" [c_name =] task sv_name;

        注意:export的方法是不带参数的,所有的导出方法都是默认context的属性,也就是C程序可以通过这个接口访问到 systemverilog中与例化相关的一些数据。

C代码中:

如果C/C++代码中使用了导出的函数,那么整个函数需要在C/C++代码中通过extern语句申明,如下面例子中c代码中第三行。C代码中需要使用要svdpi.h头文件。

例子:

仿真的source:

tb.f:

dump:

 makefile:

代码,SV中引入c_functionb并改名为c_to_sv,该方法带有一个参数,并有返回值。并导出一个sv_function,改名为sv_to_c,也带有一个参数。由于c_function中调用了导出的sv_to_c,使用了外部定义的函数所以这里需要使用context来修饰引入的c_function而由于导出的sv_functon是默认context的,所以其可以使用sv_int这个函数外的变量。:
 

 仿真结果:

 如果不使用context修饰那么:

代码:

结果报错,c代码中第九行使用了外部函数,与pure冲突了:

如果将c_function中对于sv_to_c的调用注释掉,就不会报错:

结果:

  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值