VCS中的覆盖率分析

本文详细介绍了VCS在仿真过程中的覆盖率分析,包括Code Coverage和Functional Coverage的类型,如line_coverage、branch_coverage和covergroup。覆盖数据库默认存储在simv.vdb中,可以通过编译和仿真选项定制收集。urg工具用于生成报告,DVE和verdi提供GUI界面查看覆盖率。此外,文章还讨论了编译和仿真阶段的特定选项,如-cm_hier、-cm_count等,以及covergroup选项和urg报告生成的参数。
摘要由CSDN通过智能技术生成

VCS在仿真过程中,也可以收集Coverage Metric。其中

覆盖率类型有:

  • Code Coverage:包括control_flow和value两部分的coverage,line_coverage, branch_coverage, toggle_coverage, 

                                  FSM_coverage。

  • Functional Coverage:包括covergroup,SVA。

 

Coverage Database的产生:

包含所有的有关coverage的信息,默认放在simv.vdb文件夹下。

db中的默认路径是,snps/coverage/db/testdata/line.verilog.data.xml ,fsm/cond/tgl/branch等xml信息。

编译命令 :

vcs [cover_options] [compile_options] source.v

  • [cover_options]

        -cm line+cond+tgl+fsm+branch+assert

  • [compile_options]

  -cm_dir在compile options中改变simv.vdb文件夹的位置。

  -cm_name指定testname。主要改变testname在db中的命名。

仿真过程中:

./simv [cover_options] [run_options]

  • [cover_options]

       可以是&

评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值