Inout双向端口信号处理方法

如果Data_inout不是高阻态,则用作输出,无法做输入的,否则两者岂不有了冲突?


Inout端口信号做输入时,观察例子中的输出Data_out_t就应该是高阻态的.


见许多问这个问题的,总结一下大家的贴子,希望能对大家有点用处,如果有不对的地方,欢迎指出.


芯片外部引脚很多都使用Inout类型的,为的是节省管腿。就是一个端口同时做输入和输出。 Inout在具体实现上一般用三态门来实现。三态门的第三个状态就是高阻''Z''。当Inout端口不输出时,将三态门置高阻。这样信号就不会因为两端同时输出而出错了,更详细的内容可以搜索一下三态门Tri-State的资料.


1 使用Inout类型数据,可以用如下写法:


Inout Data_inout;


Input Data_in;


Reg Data_reg;//Data_inout的映象寄存器


Reg Link_data;


Assign Data_inout=Link_data?Data_reg:1''Bz;//Link_data控制三态门


//对于Data_reg,可以通过组合逻辑或者时序逻辑根据Data_in对其赋值.通过控制Link_data的高低电平,从而设置Data_inout是输出数据还是处于高阻态,如果处于高阻态,则此时当作输入端口使用.Link_data可以通过相关电路来控制.


2 编写测试模块时,对于Inout类型的端口,需要定义成Wire类型变量,而其它输入端口都定义成Reg类型,这两者是有区别的.


当上面例子中的Data_inout用作输入时,需要赋值给Data_inout,其余情况可以断开.此时可以用Assign语句实现:Assign Data_inout=Link?Data_in_t:1''Bz;其中的Link ,Data_in_t是Reg类型变量,在测试模块中赋值.


另外,可以设置一个输出端口观察Data_inout用作输出的情况:


Wire Data_out;


Assign Data_out_t=(!Link)?Data_inout:1''Bz;

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值