IC 芯片设计(3)

SOC数据访问、控制访问和总线仲裁



总线仲裁的两大因素:带宽bandwidth和延迟 latency

       e.g.  GPU需求大带宽、CPU和UI接口需求低延迟

仲裁机制:

       固定优先级的仲裁:低优先级的会被饿死

       循环式优先级:机会均等,但是未考虑带宽和延迟的因素

       加权循环式优先级:带加权系数

       随机性的仲裁:。。。。。。


  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值