如何使用Quartus II进行仿真

使用Quartus II进行仿真

我们以一个计数器为例,在QuartusII中对其进行仿真。
打开Quartus II,新建一个工程,新建Verilog HDL文件,程序内容如下:

module Count
(
    Clk,
    Rst_n,
    Cout
);
input Clk;
input Rst_n;
output [3:0] Cout;
reg [3:0] Cout;
    always @ (posedge Clk or negedge Rst_n)
        begin
            if (~Rst_n)
                begin
                    Cout <= 4'd0;
                end
            else
                begin
                    Cout <= Cout + 4'd1;
                end
        end
        
endmodule




    保存文件名为Count.v,并置顶(在菜单栏选择【Project】→【Set as top-level Entity】)。
       执行编译

       然后,新建一个Waveform文件(或打开现有的也行)



       点【Edit】→【End Time】,设置仿真结束时间,
(结束时间设得太长,仿真会很慢,如果确实需要,建议使用modelsim仿真软件)



       在name栏空白处,双击一下,弹出节点添加窗口,



       点击【Node Finder…】,弹出节点选择窗口,



       在【Filter】栏中可以选择不同的信号类型,一般选【Design Entry(all names)】,
       点击


还可以选择工程中的各个模块,默认是只列出顶层信号,
       选中Clk、Rst_n、Cout三个信号后,点击OK返回.vwf文件界面,
       选中Cout信号,点右键,选择【Properties】,




       在“Radix”一项中,选择“Unsigned Decimal”,(方便观察数值)
       选中Clk信号,在波形编辑栏中选择


,设定周期、起始、占空比等属性,


       选中Rst_n信号,通过编辑栏“0”、“1”设定波形


       然后保存文件(这一步很重要),   点


,执行仿真


       我们可以看到Cout已经有数值变化了,通过


还可以放大缩小查看。

 

  • 1
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值