自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(34)
  • 资源 (6)
  • 收藏
  • 关注

原创 WS09-实例3-股票数据定向爬虫

#CrawBaiduStocksA.pyimport requestsfrom bs4 import BeautifulSoupimport tracebackimport re def getHTMLText(url): try: r = requests.get(url) r.raise_for_status() r.encoding = r.apparent_encoding return r.text exc

2020-08-26 22:36:15 540

原创 WS08-实例2-淘宝商品信息定向爬虫

#CrowTaobaoPrice.pyimport requestsimport re def getHTMLText(url): try: r = requests.get(url, timeout=30) r.raise_for_status() r.encoding = r.apparent_encoding return r.text except: return "" def par

2020-08-26 22:14:33 345

原创 WS07-Re(正则表达式)库入门

正则表达式的概念正则表达式regular expression,regex,re正则表达式是用来简洁表达一组字符串的表达式正则表达式是一种通用的字符串表达框架正则表达式是一种针对字符串表达“简洁”和“特征”思想的工具正则表达式可以用来判断某字符串的特征归属__ 正则表达式在文本处理中十分常用__:最主要应用在字符串匹配中表达文本类型的特征(病毒、入侵等)同时查找或替换一组字符串匹配字符串的全部或部分使用正则表达式的优势是什么?简洁、一行胜千言 、一行

2020-08-26 21:54:58 384

原创 WS05-信息标记与提取方法

信息标记的三种形式信息的标记标记后的信息可形成信息组织结构,增加了信息维度标记的结构与信息一样具有重要价值标记后的信息可用于通信、存储或展示标记后的信息更利于程序理解和运用HTML的信息标记<html> <head> <title> This is a python demo page </title> </head> <body> <p class="title"> <

2020-08-26 20:15:53 267

原创 WS06-实例1-中国大学排名爬虫

“中国大学排名定向爬虫”实例介绍http://www.zuihaodaxue.cn/zuihaodaxuepaiming2016.html功能描述输入:大学排名URL链接输出:大学排名信息的屏幕输出(排名,大学名称,总分)技术路线:requests‐bs4定向爬虫:仅对输入URL进行爬取,不扩展爬取定向爬虫可行性http://www.zuihaodaxue.cn/robots.txt程序的结构设计步骤1:从网络上获取大学排名网页内容步骤2:提取网页内容中信息到合适的数据结构步骤3:

2020-08-26 17:36:47 434

原创 WS04-Beautiful Soup库入门

Beautiful Soup库的安装pip install beautifulsoup4Beautiful Soup库的安装小测https://python123.io/ws/demo.html>>> import requests>>> r = requests.get("https://python123.io/ws/demo.html")>>> r.text'<html><head><title>T

2020-08-26 15:05:16 331

原创 WS03-Requests库网络爬取实战

实例1:京东商品页面的爬取讲义中的:https://item.jd.com/2967929.html这使用的:https://item.jd.com/46106440551.html爬取时需要登录吗?>>> import requests>>> r = requests.get("https://item.jd.com/46106440551.html")>>> r.status_code200>>> r.encodin

2020-08-26 10:11:33 530

原创 WS02-网络爬虫的盗亦有道

网络爬虫引发的问题网络爬虫的尺寸小规模,数据量小爬取速度不敏感Requests库中规模,数据规模较大爬取速度敏感Scrapy库大规模,搜索引擎爬取速度关键定制开发爬取网页玩转网页爬取网站 爬取系列网站爬取全网网络爬虫的“性能骚扰”Web服务器默认接收人类访问受限于编写水平和目的,网络爬虫将会为Web服务器带来巨大的资源开销网络爬虫的法律风险服务器上的数据有产权归属网络爬虫获取数据后牟利将带来法律风险网络爬虫的隐私泄露网络爬虫可能具备突破简单访问控制的能力,获

2020-08-26 08:55:03 306

原创 WS01-Request库入门

Request库的安装pip install requests

2020-08-26 08:16:03 884

原创 WS00-网络爬虫课程内容导学

#前言The Website is The API …##Requests自动爬取HTML页面自动网络请求提示##robots.txt网络爬虫排除标准##Beautiful Soup解析HTML页面##Re正则表达式详解提取页面关键信息##projects实战项目A/B##Scrapy*网络爬虫原理介绍专业爬虫框架介绍...

2020-08-25 20:43:18 327

原创 史上最牛最强的linux学习笔记 7.用户和用户组管理

写在最前面:前面留下来的几章内容,今天补一下。昨天在安装虚拟机后,不知道如何创建用户、修改用户密码(还有不知道如何将ip地址配置到文件里,现在都没敢重启虚拟机)!说白了,不是不知道命令,只是一直报错没有权限,呵呵呵!还有安装VMwareTool时,遇到suroot等命令的干扰,就在这里强调一下,注意“su -root”和“suroot”的区别!7.1、用户配置文件7.1.1用户信息文件/etc/ passwd无密码的登录,只能在本地虚拟机登录。远程ssh是不允许的!这就是我为了简...

2020-08-23 22:27:55 330

原创 如何安装VMware Tool

如何安装VMware Tool下面这个链接是长达20分钟的安装讲解,如有需要点击观看https://v.qq.com/x/page/h300284lmif.html?ptag=qqbrowser一般使用虚拟机都需要自己安装VMware TOOl,那么究竟怎么安装呢?看我下面的操作,里面有试错环节!1.首先就是点击重新安装VMware Tools,进入桌面上的VMware Tools,找到VMwareTools-10.3.10-12406962.tar.gz[IC@IC media.

2020-08-23 22:24:29 1251 1

原创 linux虚拟机拷贝大文件卡死!——安装VMware 15.1以上版本?

Q:VMware虚拟机复制文件卡死,昨天买的i7-9750h和GTX1660ti回来了,就装机。安装好vm,复制文件夹2MB的文件立马就好,但是复制65MB的文件就直接死了,风扇比打游戏还响A:度娘说,win10更新到1903,导致VMware版本不适配,更新到15.1以上就可以解决这个问题,我就安装了下面的vm15.5下载链接异次元官网链接https://dl.iplaysoft.com/files/1843.html点击下面即可下载https://download3.vmwa

2020-08-23 22:20:58 1115

原创 synopsys svtb lab3:Self-Checking

前言欢迎您,有缘人!文章涉及的代码太多,比较站篇幅,需要代码和文档去代码需要翻看synopsys svtb lab1:SystemVerilog Verification Flow的前言部分获取。完成这个实验,你应该会:构造一个从路由器输出端进行取样的监视器(Monitor)。 构造一个可以验证路由器输出的比较器(Checker)。 运行Driver和Monitor程序,检验Checker能否正确比数。在该实验完成时,你将得到如下验证结构。Lab Overview任务1:.

2020-08-23 22:16:33 750

原创 synopsys svtb lab2:Sending Packets Through Router

前言欢迎您,有缘人!文章涉及的代码太多,比较站篇幅,需要代码和文档去代码需要翻看synopsys svtb lab1的前言部分获取。Sending Packets Through RouterLab2的学习目标是:拓展lab1中的测试平台,从一个输入端向一个输出端发送数据包。 用新的测试平台来编译和仿真设计文件。在这次Lab中,你将继续去搭建测试平台上的相关组件(component):激励产生器(Stimulus Generator),驱动器(Driver)等。你会使用一些的子程序.

2020-08-23 18:24:58 601

原创 synopsys svtb lab1:SystemVerilog Verification Flow

写在前面:这是路科的V0课程实验,就是路桑所说的“把大象装进冰箱里”,其实就是Synopsys公司的SV testbench lab(有英文的pdf,要是有这样的指导,就可以对sv验证有个清晰的感知了),如果需要pdf文档,去eetop下载,或者进企鹅群:11427一七989下载。设计模块介绍实验中的待测试模块(DUT)是一个16输入,16输出的路由器,这个路由器的功能是把数据通过各个输入端(Input)发送到任意输出端(Output)。下面是最终完成的整个验证平台示意图。打开实验...

2020-08-23 10:33:33 2547

原创 SV覆盖率

前言:欢迎您,有缘人!1.基于覆盖率动的验证技术覆盖率是对RTL设计功能进行验证后达到的覆盖百分比检查过程必须满足完整性和正确性,没有冗余的劳动为了最小化验证工作量,使用覆盖率来衡量一个设计哪些功能测试过,哪些功能还没有被测试过功能覆盖率是由验证工程师自己定义的,用于衡量设计规格是否被正确实现,具体内容体现在验正计划中功能覆盖率用于检査设计的应用场景、边界条件、特殊变量或者设计条件是否被完的正确的测试或者确认过2.覆盖率类型2.1覆盖率类型:RTL代码覆盖率衡量验证.

2020-08-22 18:48:15 2351 5

原创 AE笔记随记

cinema 4DLite是简化版本新建:导入素材:ctrl+i旋转选中的素材:R调整中心点定位工具:锚点ctrl+Z撤销将素材拖到新建合成组:可以创建一样大小的合成摄像机:在合成组里面要新建才有效果,并且调整角度不影响素材,只对摄像机有效,感觉像是图层。钢笔工具上的滑杆:通过ctrl可以只调整一个来达到目的时间轴:使用的前提是合成组,双击打开合成组即有时间轴;独奏就是显示这一个;层属性变换:等比例缩放按住shift层属性快捷键:缩放大小s(scale),移动位.

2020-08-22 15:51:00 868

原创 PR快捷键图片(可打印)

前言:欢迎您有缘人。做出好视频可以在评论区留下链接!后记:冲冲冲

2020-08-18 14:07:02 2976

原创 2T的移动硬盘在拷贝时卡死,是什么原因?

前言:有缘人,您好!1.百度了一下,2T移动硬盘用gpt分区还是用mbr分区好?对于移动硬盘,用MBR分区就可以了;移动硬盘需要在多台电脑之间使用,GPT分区,不排除某些电脑不能很好的支持;3TB以上的硬盘,才会有MBR分区不能支持好,那样的话才比较适合考虑GPT分区。建议选MBR,只是不同组织形式而已,都可以用,但MBR方式更常用一些。建议大容量的硬盘尽量用NTFS格式(Windows下),如果是Linux下,用EXT3及比EXT3更高级的比如EXT4、reiserfs 格式,效果会更好

2020-08-18 07:24:11 6452

原创 使用AE的基本思路

1.做影视必须用到的术语帧:比秒还要小的单位,一般的话,一秒有24帧; 关键帧:原画 FPS:每秒传输的帧的数量,越高越清晰;1秒有15帧/23.97帧/24帧/29.97帧/30帧 视频格式:MP4、AVI、MOV、WMV等 常见格式:MP4(MPEG、MPG、DAT)、AVI(微软的)、MOV(苹果的,转其他格式方便)、WMV、MKV、FLV、F4V、RMVB 比特率(码率):每秒传送的比特数,单位为bps,比特率越高,传输速度越快 格式转换:AE不能导出mp4了。 常见格式转换软件:

2020-08-17 21:34:27 1223

原创 SV线程内部通信

写在前面:欢迎您,有缘人!1.内部线程通信线程之间需要通信,实现对执行序列的控制主要有三种:Eventbased、Resourcesharing、Datapassing内部线程通信机制:Verilogevent在Verilog中,@操作符是边沿敏感的,它总是阻塞着,等待着事件的变化。其他的线程可以通过->操作符来触发事件。Verilog eventVerilog语言中使用 event同步线程 触发事件的操作符:-> ◆不会阻塞线程的执行等待事...

2020-08-17 14:09:25 2337

原创 SV并发线程

写在前面:冲冲冲Verilog典型的并发语句集合initial语句:在整个仿真时间内只执行一次, initial语句都是并发的 always语句:可以对组合电路和时序电路进行建模, always语句都是并发的 assign语句:可以对组合电路进行建模, assign语句都是并发的 begin···end:语句从上往下,顺序执行 fork···join:语句并行执行,与语句顺序无关SV新增下列处理并发进程的能力fork…join并发结构 通过 mailbox实现进程间的通信 通过 .

2020-08-17 11:31:05 1244

原创 SV随机化Randomization

随机化验证策略为什么使用随机化验证策略?设计复杂度提高之后,直接测试(定向测试)(directedtestcase),没有办法通过穷举法验证所有矢量 定向测试案例用于检查确定的设计属性,仅仅用于检查可以预期的错误 定向测试方式跟测试时间是线性关系 定向测试案例不能检查隐形的错误解决办法:采用带约束的随机化测试(CRT),对输入的激励随机化随机内容有哪些?必须对DUT里的所有关键点都采用随机化的技术。(1)RTL设计的配置:需要测试足够多(2)环境的配置:随机化配置整个环.

2020-08-17 10:11:47 7283 1

原创 linux/unix常见命令下载(图片,可打印)

前言:上个月,被迫学习了linux基础、shell编程,但是用的时候经常要去查看命令。于是乎,今天查资料,发现了这么个pdf,但是pdf不知道怎么上传,就生成了jpg和png格式的图片插在了这里。希望能够帮助广大学习者!(如需下载,点击此链接)如有侵权,联系删除。这是jpg格式的下面是png格式的...

2020-08-16 16:17:22 652

原创 sv面向对象:类

实例面向对象的基本概念oop:第一个类 重新new()一下,就会重新开辟内存空间;句柄的使用好,我们讲这个句柄讲的挺多的了,我给大家看一个例子。后面这是深copy 静态变量 oop:继承inheritance local和protected的区别抽象类和虚方法oop:多态 多态总结:实方法看句柄虚方法看对象...

2020-08-15 17:33:00 3881 2

原创 sv过程语句和子程序

写在前面:类似c语言,多练习就好。sv过程语句与verilog相比,SV加入了与C/C++类似的自增自减操作符、continue、return等语句。实例:自增自减操作符、for、while//systemverilog绿皮书//例题3.1 新的过程语句和操作符//仿真结果是45module procedure();//自增自减操作符//i++和i--是先把值赋给下一语句,然后+1或-1//++i和--i是先+1或-1,然后把值赋给下一语句initial begin:ex.

2020-08-15 10:35:40 1920

原创 史上最牛最强的linux学习笔记 6.软件包管理

史上最牛最强的linux学习笔记 6.软件包管理写在最前面:本人目前是材料准研究生,20年勉强有双一流录取的渣渣,在疫情的影响下受到了极大的刺激,等待是最漫长的,没有结果的等待更是一种煎熬。因此,对人生的做出了思考,准备自学转IC验证,但是呢,在学习的过程中,只有E课网、路科验证、移知,E课网的验证和路科验证的的课程让转行的自己很失望。比如,开始学路科验证的V2课程,uvm第一章都学习完了,自己搭建不出svtestbench!路桑在第三个实验的答疑中还在讲实验一的一些基本操作,学习完sv我连sv的t..

2020-08-14 15:24:52 376

原创 史上最牛最强的linux学习笔记 4.linux常用命令

史上最牛最强的linux学习笔记 4.linux常用命令

2020-08-14 15:23:40 1693

原创 史上最牛最强的linux学习笔记 16.备份与恢复

史上最牛最强的linux学习笔记 16.备份与恢复写在最前面:本人目前是材料准研究生,20年勉强有双一流录取的渣渣,在疫情的影响下受到了极大的刺激,等待是最漫长的,没有结果的等待更是一种煎熬。因此,对人生的做出了思考,准备自学转IC验证,但是呢,在学习的过程中,只有E课网、路科验证、移知,E课网的验证和路科验证的的课程让转行的自己很失望。比如,开始学路科验证的V2课程,uvm第一章都学习完了,自己搭建不出svtestbench!路桑在第三个实验的答疑中还在讲实验一的一些基本操作,学习完sv我连sv的..

2020-08-14 15:21:55 157

原创 sv数据类型

2.1数据类型与verilog相比,systemverilog增加了很多类似C/C++新的数据类型,如下:​2.1内建数据类型我们在不同数据类型进行操作时应该注意变量: 逻辑数值类型(四值、两值?) 符号类型(有符号、无符号?) 矢量位宽(64位的吗) 将四值逻辑的类型和二值逻辑的类型分别摘列出来: 四值逻辑类型:integer、reg、logic、reg、net-type(例如wire、tri) 二值逻辑类型:byte、shorti..

2020-08-14 15:18:24 10247 6

原创 如何验证一个全加器?

写在最前面:昨天对四位寄存器进行了验证,今天还想继续找个实例继续仿真一下,看能不能搞出波形文件。1.design全加器的设计代码见下://full_adder.vmodule full_adder(//module head; verilog-2001 formatinput wire a_in,input wire b_in,input wire c_in,//carry inoutput wire sum_out,output wire c_out//carrry ou

2020-08-11 14:34:03 1991

原创 不同抽象级别的Verilog HDL模型

Verilog模型可以是实际电路不同级别的抽象。这些抽象的级别和它们对应的模型类型共有以下五种: 1) 系统级(system) 2) 算法级(algorithmic) 3) RTL级(RegisterTransferLevel): 4) 门级(gate-level): 5) 开关级(switch-level) 一个复杂电路的完整Verilog HDL模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干 个子模块构成。这些模块可以分别用不同抽象级别的Ver.

2020-08-10 21:11:49 1946

原创 史上最牛最强的linux学习笔记 10.shell基础

史上最牛最强的linux学习笔记 10.shell基础写在最前面:本人目前是材料准研究生,20年勉强有双一流录取的渣渣,在疫情的影响下受到了极大的刺激,等待是最漫长的,没有结果的等待更是一种煎熬。因此,对人生的做出了思考,准备自学转IC验证,但是呢,在学习的过程中,只有E课网、路科验证、移知,E课网的验证和路科验证的的课程让转行的自己很失望。比如,开始学路科验证的V2课程,uvm第一章都学习完了,自己搭建不出svtestbench!路桑在第三个实验的答疑中还在讲实验一的一些基本操作,学习完sv我连sv.

2020-08-02 15:51:48 1719

计算机组成原理:最详细笔记 word格式下载

https://blog.csdn.net/haojie_duan/article/details/112739522 有很多人私聊我发送上面这篇博文的打印版,自己在考研时使用,现在把它上传到此处,欢迎大家享用

2023-09-05

计算机组成原理:最详细笔记 md格式下载

https://blog.csdn.net/haojie_duan/article/details/112739522 有很多人私聊我发送上面这篇博文的打印版,自己在考研时使用,现在把它上传到此处,欢迎大家享用

2023-09-05

Cmake 实践文档笔记

这个文档是开放的,开放的目的是为了让更多的人能够读到并且能够修改,任何人都 可以对它作出修改和补充,但是,为了大家都能够获得你关于 cmake 的经验和积累,如果 你现错误或者添加了新内容后,请务必 CC 给我一份,让我们共同把 cmake 掌握的更好。

2022-08-01

电子词典——系统编程IO操作(c语言实现)

系统编程IO操作 之 电子词典 c语言实现电子词典: 介绍见链接:https://blog.csdn.net/haojie_duan/article/details/122795535

2022-02-06

第一章第2节:Qt环境配置与验证实验.pdf

第一章第2节:Qt环境配置与验证实验.pdf

2021-10-07

ahb_sram简单设计源码

ahb_sram简单设计源码;

2020-12-18

基于AHB总线SRAM控制器 的设计及优化

#基于AHB总线SRAM控制器 的设计及优化

2020-12-17

Linux_unix常见命令汇总(可打印) .zip

linux_unix常见命令汇总,希望对广大网友学习有所帮助! linux_unix常见命令汇总,希望对广大网友学习有所帮助! 后期长传资源全部免费

2020-08-16

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除