基于FPGA的8PSK调制解调系统,包含testbench,高斯信道模块,误码率统计模块,可以设置不同SNR

目录

1.算法仿真效果

2.算法涉及理论知识概要

2.1 8PSK调制原理

2.2 基于FPGA的8PSK调制解调器设计和实现

3.Verilog核心程序

4.完整算法代码文件获得


1.算法仿真效果

       本系统在以前写过的8PSK调制解调系统的基础上,增加了高斯信道模块,误码率统计模块,可以验证不同SNR情况下的8PSK误码情况。

VIVADO2019.2仿真结果如下(完整代码运行后无水印)

设置SNR=30db

其对应星座图:

设置SNR=15db

其对应星座图:

设置SNR=10db

其对应星座图:

整个系统的RTL结构如下图所示:

2.算法涉及理论知识概要

        随着通信技术的不断发展,相位调制技术因其高频谱效率和抗干扰能力而广泛应用于无线通信系统中。其中,8PSK(8相位相移键控)作为一种高阶调制方式,具有更高的频谱效率和更强的抗干扰能力,因此备受关注。然而,8PSK调制解调的实现复杂度较高,需要高效的数字信号处理技术。现场可编程门阵列(FPGA)作为一种可编程逻辑器件,具有高度的灵活性和并行处理能力,非常适合实现复杂的数字信号处理算法。

2.1 8PSK调制原理

8PSK调制是一种相位调制方式,其基本原理是通过改变载波的相位来传递信息。在8PSK中,一个符号周期内的相位变化有8种可能的状态,分别对应3个比特的信息。因此,8PSK调制可以看作是一种将3个比特映射到一个符号的映射方式。具体地,假设输入的比特序列为b2b1b0,则对应的8PSK符号可以表示为:

        S(t)=Acos(2πfct+θk) (1)

        其中,A是载波的振幅,fc是载波的频率,θk是第k个符号的相位,k=0,1,...,7。θk的取值由输入的比特序列b2b1b0决定,具体的映射关系如表1所示。

表1:8PSK映射关系

其星座图如下所示:

2.2 基于FPGA的8PSK调制解调器设计和实现

       FPGA是一种可编程逻辑器件,其内部包含大量的可编程逻辑单元和存储单元,可以根据用户的需要进行灵活配置。FPGA具有以下优点:

(1)高度的灵活性:FPGA可以根据用户的需要重新配置逻辑电路,实现不同的功能。这种灵活性使得FPGA可以适应各种复杂的通信系统和算法需求。
(2)并行处理能力:FPGA内部的逻辑单元可以并行工作,同时处理多个数据。这种并行处理能力使得FPGA可以高效地实现复杂的数字信号处理算法。
(3)高性能和低功耗:FPGA的逻辑单元和存储单元都采用了高性能的工艺和设计,可以实现高速的数据处理和存储。同时,FPGA还具有低功耗的特点,适合用于移动通信等需要长时间工作的场景。

       在基于FPGA的8PSK调制解调系统中,数字信号处理算法是关键部分之一。具体地,调制器需要将输入的比特序列映射为对应的8PSK符号序列;解调器则需要从接收到的符号序列中恢复出原始的比特序列。为了实现这些功能,我们采用了以下算法:

(1)调制算法:根据输入的比特序列和表1中的映射关系,计算出对应的8PSK符号序列。这个过程可以通过查找表或者计算的方式实现。为了降低复杂度和提高效率,我们采用了查找表的方式实现调制算法。具体地,我们将表1中的映射关系存储在一个查找表中,然后根据输入的比特序列查找对应的8PSK符号序列。这种方式可以在保证正确性的同时降低算法的复杂度。
(2)解调算法:从接收到的符号序列中恢复出原始的比特序列是一个比较复杂的过程,我们将接收到的符号序列与一个已知的8PSK符号序列进行比较。

3.Verilog核心程序

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2023/05/03 06:21:37
// Design Name: 
// Module Name: TEST
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module TEST();

reg i_clk;
reg i_clksample;
reg i_rst;
reg i_dat;
reg signed[7:0]i_SNR;
wire[2:0]o_ISET;
wire signed[15:0]o_I8psk;
wire signed[15:0]o_Q8psk;
wire signed[15:0]o_Ifir_T;
wire signed[15:0]o_Qfir_T;
wire signed[31:0]o_mod_T;
wire signed[15:0]o_Nmod_T;
wire signed[31:0]o_modc_R;
wire signed[31:0]o_mods_R;
wire signed[31:0]o_Ifir_R;
wire signed[31:0]o_Qfir_R;
wire  [2:0]o_wbits;
wire       o_bits;
wire signed[31:0]o_error_num;
wire signed[31:0]o_total_num;  
TOPS_8PSK TOPS_8PSK_u(
.i_clk      (i_clk),
.i_clksample(i_clksample),
.i_rst  (i_rst),
.i_SNR(i_SNR),
.i_dat  (i_dat),
.o_ISET (o_ISET),
.o_I8psk(o_I8psk),
.o_Q8psk(o_Q8psk),
.o_Ifir_T (o_Ifir_T),
.o_Qfir_T (o_Qfir_T),
.o_mod_T  (o_mod_T),
.o_Nmod_T(o_Nmod_T),
.o_modc_R (o_modc_R),
.o_mods_R (o_mods_R),
.o_Ifir_R (o_Ifir_R),
.o_Qfir_R (o_Qfir_R),
.o_wbits(o_wbits),
.o_bits (o_bits),
.o_error_num(o_error_num),
.o_total_num(o_total_num)
);
 

initial
begin
    i_clk = 1'b1;
    i_clksample= 1'b1;
    i_rst = 1'b1;
    i_SNR =30;//这个地方可以设置信噪比,数值大小从0~50,
    #12000
    i_rst = 1'b0;
end

always #80 i_clk=~i_clk;
always #1 i_clksample=~i_clksample;
 



initial
begin
    i_dat = 1'b0;
    #12000
    repeat(10000)
    begin
    #160 i_dat = 1'b1;
    #160 i_dat = 1'b1;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b1;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b1;
    #160 i_dat = 1'b1;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b1;
    #160 i_dat = 1'b1;
    #160 i_dat = 1'b1;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b1;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b0;
    #160 i_dat = 1'b1;
    #160 i_dat = 1'b1;
    #160 i_dat = 1'b0;
    
    
    end
end


 //显示发射端带相位旋转的星座图
integer fout1;
integer fout2;
initial begin
 fout1 = $fopen("It.txt","w");
 fout2 = $fopen("Qt.txt","w"); 
end

always @ (posedge i_clk)
 begin
     if(i_rst==0)
     begin
   	 $fwrite(fout1,"%d\n",o_Ifir_R);
	 $fwrite(fout2,"%d\n",o_Qfir_R);
	 end
	 else begin
   	 $fwrite(fout1,"%d\n",0);
	 $fwrite(fout2,"%d\n",0);
	 end
end



endmodule

4.完整算法代码文件获得

V

  • 17
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
为了更准确地评估ASK和PSK在高斯白噪声信道下的误码率性能,可以进行仿真实验。 一般的仿真实验步骤如下: 1. 生成ASK或PSK调制的数字信号,并添加高斯白噪声。 2. 通过解调器解调接收到的信号,得到接收信号的比特序列。 3. 将接收信号的比特序列与发送信号的比特序列进行比较,计算误码率。 4. 重复多次实验,取平均值,得到实际误码率性能。 下面以MATLAB为例,给出ASK和PSK在高斯白噪声信道下的仿真误码率性能实现: ```matlab % 生成ASK调制信号 M = 2; % 调制阶数 N = 10000; % 比特数 data = randi([0 M-1],1,N); symbols = 2*data-1; fc = 1000; % 载波频率 t = (0:N-1)/fc; carrier = cos(2*pi*fc*t); ask_signal = symbols.*carrier; % 添加高斯白噪声 snr = 10; % 信噪比 noise = randn(1,N)/sqrt(2*snr); ask_noisy_signal = ask_signal + noise; % 解调ASK信号 ask_demod = ask_noisy_signal.*carrier; ask_bits = ask_demod > 0; % 计算误码率 ber_ask = sum(xor(ask_bits,data))/N; % 生成PSK调制信号 M = 2; % 调制阶数 N = 10000; % 比特数 data = randi([0 M-1],1,N); symbols = exp(1j*2*pi*(data/M)); fc = 1000; % 载波频率 t = (0:N-1)/fc; carrier = cos(2*pi*fc*t); psk_signal = real(symbols).*carrier - imag(symbols).*sin(2*pi*fc*t); % 添加高斯白噪声 snr = 10; % 信噪比 noise = randn(1,N)/sqrt(2*snr); psk_noisy_signal = psk_signal + noise; % 解调PSK信号 psk_demod = psk_noisy_signal.*cos(2*pi*fc*t) + 1j*psk_noisy_signal.*sin(2*pi*fc*t); psk_bits = angle(psk_demod)/pi > 0; % 计算误码率 ber_psk = sum(xor(psk_bits,data))/N; ``` 需要注意的是,实际误码率性能可能会受到仿真参数的影响,如仿真比特数、信噪比等。因此,在进行实际应用时,需要根据实际情况选择合适的参数,以获得更准确的性能评估结果。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

我爱C编程

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值