自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(17)
  • 资源 (29)
  • 收藏
  • 关注

原创 stm32 hal 与freeRTOS学习中遇到的c/c++

使用非常方便,在 HAL 库中__weak 关键字被广泛使用。

2024-07-22 17:17:25 194

原创 sd调试记录:

比如在使用函数f_opendir(&DirInf, SDPath)、f_open(&SDFile, path, FA_CREATE_ALWAYS | FA_WRITE)函数时会出现错误:FR_NOT_READY。

2024-07-06 11:09:18 780

原创 几个场效管的快速导通及快速关断电路

资料来源:;Figure 1 场效应管并联电路Figure 2 来源于上面的资料。

2024-07-01 18:07:21 319

原创 电容的偏压特性

电容的偏压特性是指电容在施加直流偏压(DC Bias)时,其电气特性(如电容量、电介质损耗等)发生变化的现象。对于某些类型的电容,尤其是陶瓷电容,这种偏压特性非常显著,理解和管理这些特性对于确保电路设计的性能和稳定性至关重要。然而,当施加一个10V的直流偏压时,实际测得的电容量可能降至仅7µF甚至更低。总结来说,电容的偏压特性是设计电路时需要认真考虑的一部分,特别是在精密应用和高稳定性要求的环境中。选择时需要参考详细的电容器规格书,并在实际电路中进行测试验证,确保在真实工作条件下能够符合设计预期。

2024-06-25 16:47:10 310

原创 硬汉嵌入式V5中的提醒

是不是有更好的方法,这个方法太麻烦了!

2024-06-21 14:36:45 187

原创 0xc0000225、0xc0000001的错误与进winpe一直卡在Logo界面转圈

3)奇迹出现,可以进入winpe了,进入winpe就可以利用ghost重装系统了,看到里头桌面还有一个修复MBR的快捷键,继续死马当活马医的想法,试试,先不忙着格式重装,修复,重启(把U盘),弹出启动失败,面临选择重试,或者F8指定启动设置,这个时候,心里突然冒出感觉,修复MSB成功是了,是不是可以进入安全模式,于是选择了F8,选择安全模式,于是激动人心的时刻出现,可以进入了,意味着不需要重装了,折腾了两天的系统,突然好了!2)进入 不了借助启动盘,进入winpe,重装,折腾太花时间了;

2024-06-20 15:12:27 445

原创 sd卡stm32f407移植调试记录

FR_NO_FILESYSTEM错误

2024-06-13 17:06:45 233

原创 Keil MDK 高级调试----Event Recorder工具

需要明确,若是需要使用Printf_debug功能(特别是当板子串口没有引出的时候),可以使用Event Recorder工具,前提条件:1. 有外接仿真引脚出来的话,在MDK环境下就可以使用硬件调试器:ULink、Jlink、ST-Link、CMSIS-DAP等的各种LINK进行调试,实现printf输出的功能;

2024-05-28 12:17:53 694

原创 STM32 printf 与 MicroLIB 使用过程中的有用博文与注意事项

keil中使用软件debug功能查看printf输出结果_c语言_曹乐乐爱学习-GitCode 开源社区 (csdn.net)STM32程序不运行与MicroLIB讲解-CSDN博客STM32 HAL库:使用C标准库或MicroLIB实现printf | 多串口使用printf输出 | 结合DMA实现printf | 常见问题总结_stm32 microlib-CSDN博客。

2024-05-27 18:11:27 672

原创 关于fpga多模块共用复位信号

问题部分代码:最近在学习fpga,用的是小脚丫的Altera STEP-MAX10 V2.0 (10M08SAM153C8G) 板子,利用提供的STP MAX10实验指导书,实验18,秒表计时器,共3个模块:主模块Couter60、子模块1(分频模块,产生秒钟信号)、子模块2(两位数码管显示模块)。先上主模块代码:分配管脚,编译,下载测试,当按复位键(rst)时,数码管式中处于保持状态,不能按照程序写的复位清零。主模块的逻辑想不出问题,fpga(这个是不是也很难仿真发现问题在哪里啊???

2024-05-22 11:58:28 230 1

原创 使用stm32cubeIDE 错误“No such file or directory“的坑

上面的方法都很好,但是没有成功,最后实在没有办法了,直接,在文件中写出识别不了 的头文件的位置:#include "..\NorFlashW25Q64\w25q64.h",一编译成功了!

2024-04-28 18:46:21 986

原创 关于软件AccessPort使用注意事项

1.串口监控之前,先设置AccessPort开始监测所在串口,本软件本身不会占用串口;2. 设置好之后,进行正常的串口打开,通讯;备注:当先进行2时,再进行1,AccessPort就无法进行串口监听,无法接收到信息。...

2022-03-27 09:22:00 2776

原创 c语言中“%nd”是什么意思?

d格式:用来输出十进制整数。有以下几种用法:%d:按整型数据的实际长度输出。%nd:n为指定的输出字段的宽度。如果数据的位数小于n,则左端补以空格,若大于n,则按实际位数输出。%ld:输出长整型数据。 从上面结果可以看出:1)符号位也算入n中;2)超过n的按实际接收3)小于n的用空格补齐;

2013-03-07 09:30:30 7443

转载 The * Modifier with printf() and scanf()

Both   printf()   and   scanf() can   use   the   *   modifier   to   modify   the   meaning   of   a   specifier,   but   they   do   so   in   dissimilar   fashions.   First,   let 's   see   what

2012-10-26 16:06:08 457

原创 好的网站

看到一些好的网站或者博客,留个痕迹: 1.http://blog.csdn.net/column/details/programmer.html 当程序员的那些快乐日子 2.http://blog.csdn.net/column/details/novelnorains.html 一个程序员的奋斗史 3.http://blog.csdn.net/column/details

2011-12-09 11:36:43 372

转载 VC中的一段枚举串口代码

FindCommPort(){  HKEY hKey;    int rtn;  //m_ctrlComboComPort为对话框中的combobox框  m_ctrlComboComPort.ResetContent();  rtn = RegOpe

2011-10-08 09:52:44 690

原创 VC++技术内幕(第四版)

<br />在学习VC++技术内幕(第四版),留个记号!20100712

2010-07-12 10:14:00 633

Transformer Modeling By Harvey Morehouse.docx

Transformer Modeling,关于spice变压器、耦合电感的模型讲解,第一部分很受益,讲解了理想变压器,耦合电感,实际变压器模型的制作,可以帮助理解Multisim中的电感的模型

2020-08-23

高频电路原理与分析(第六版)曾兴雯.rar

高频电路原理与分析_第六版(曾兴雯)AIB课件,

2019-06-02

华中科技大学_通信电子线路课件

华中科技大学,通信电子线路课件,ppt版本,里头还有ppt的动画资源,难得的好资源,和严国萍老师主编的通信电子线路(第二版)配套。

2019-02-15

康华光 第六版 电子技术基础课件

康华光 第六版 电子技术基础课件,找了很久,终于找到到了,第六版的。

2018-04-02

Git 2.11.1-64-bit.exe

Git 2.11 64bit Git 2.11.1-64-bit.exe

2017-02-17

labview关于excel的资料

labview关于excel的资料.在用Labview对excel编程时下载的一些文档

2014-03-17

时间触发嵌入式系统设计模式

<<时间触发嵌入式系统设计模式>>书中的代码,使用8051系列微控制器开发可靠应用,Michael J.Pont

2012-08-16

Z-TEK USB2.0转422_485_232驱动

Z-TEK USB2.0转422_485_232驱动

2012-05-21

基于LabWindowsCVI的虚拟仪器设计与应用-第2版-相关程序

基于LabWindowsCVI的虚拟仪器设计与应用-第2版-相关程序 孙晓云主编

2012-04-17

labwindows_cvi虚拟仪器测试技术及工程应用.zip.008

labwindows_cvi虚拟仪器测试技术及工程应用.zip.008

2012-04-15

labwindows_cvi虚拟仪器测试技术及工程应用.zip.007

labwindows_cvi虚拟仪器测试技术及工程应用.zip.007

2012-04-15

labwindows_cvi虚拟仪器测试技术及工程应用.zip.006

labwindows_cvi虚拟仪器测试技术及工程应用.zip.006

2012-04-15

labwindows_cvi虚拟仪器测试技术及工程应用.zip.005

labwindows_cvi虚拟仪器测试技术及工程应用.zip.005

2012-04-15

labwindows_cvi虚拟仪器测试技术及工程应用.zip.004

labwindows_cvi虚拟仪器测试技术及工程应用.zip.004

2012-04-15

labwindows_cvi虚拟仪器测试技术及工程应用.zip.003

labwindows_cvi虚拟仪器测试技术及工程应用.zip.003

2012-04-15

labwindows_cvi虚拟仪器测试技术及工程应用.zip.002

labwindows_cvi虚拟仪器测试技术及工程应用.zip.002

2012-04-15

labwindows_cvi虚拟仪器测试技术及工程应用光盘

labwindows_cvi虚拟仪器测试技术及工程应用光盘 王建新 隋美丽

2012-04-14

CserialPort类做成的dll控制电机

感谢朋友许明龙代码支持,他的联系QQ:494462498.Tel:13893256491)。利用串口类CserialPort类,做成控制电机的动态链接文件dll。本dll中封装了两个类:CserialPort类和电机类。 之所以共享是由于得到了朋友许明龙代码支持(他把CserialPort类做成了dll),他的联系QQ:494462498.Tel:13893256491).

2011-10-08

串口控制电机代码--vc版

步进电机是控制器与驱动器一体的,控制器和上位机接口是USB转串口,做了它的控制界面程序. 程序中可以自动找到机器上的串口。

2011-10-08

单电源运放图集--运放单电源供电

A Single-Supply Op-Amp Circuit Collection。很经典的运放运用,运放单电源供电

2011-07-30

MATLAB与VC混合编程实习指导书.PDF

MATLAB与VC混合编程实习指导书

2011-02-04

精通MATLAB与C/C++混合程序设计光盘

精通MATLAB与C/C++混合程序设计(第二版)刘维光盘下载.为压缩文件,分3部分。之三

2011-01-20

精通MATLAB与C/C++混合程序设计光盘

精通MATLAB与C/C++混合程序设计(第二版)刘维光盘下载.为压缩文件,分3部分。之二

2011-01-20

精通MATLAB与C/C++混合程序设计光盘

精通MATLAB与C/C++混合程序设计(第二版)刘维光盘下载.为压缩文件,分3部分。之一

2011-01-20

图形控件(含示波器控件,VC)

VC的图形控件,当时想做示波器,在国外网站上收集到的。好几个,都有说明

2010-08-03

Patterns for Time Triggered Embedded Systems英文(含代码).rar

Patterns for Time Triggered Embedded Systems英文(含代码).

2010-04-20

谭浩强c语言PDF版

谭浩强c语言PDF版,很经典,学C的应该有一份

2010-01-28

cs5532调试文档

cs5532调试文档我的总结,及我犯得些错误!

2010-01-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除