verilog实现按键消抖检测

今天进行了fpga的按键操作学习,本来以为很简单,却是费了好长的时间。

今天下午很随意的觉得按键检测应该和时序电路没啥关系,简单写了个组合逻辑的程序,结果啥都不是。

因为按键肯定要考虑抖动的影响,如果要消抖就必须考虑到前一时刻和该时刻的按键值的变化。因此还是应该用时序逻辑。

随后参考了一篇在网上赞为经典的博文《基于verilog按键消抖设计》。读了他的代码花了不少时间分析,还是很有启发的。核心的算法就是:


通过前一时刻和后一时刻采到的按键值相与来判断按键是否真的按下。


最后借用他的思想,结合自己的板子写了如下程序,实现了按键操作功能。



  • 4
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Verilog实现按键消抖的方法是通过添加适当的延迟和边沿检测技术来实现的。 首先,按键消抖的基本原理是通过适当的延迟来判断按键是否被按下或释放。这个延迟可以使得按键动部分不会被检测到,从而滤除掉动的影响,实现按键消抖。延迟时间通常很短,例如10毫秒,这样可以避免漏检真实按下或释放按键的情况。 其次,按键消抖的关键技术之一是边沿检测。边沿检测是通过检测延迟前后按键输出电平值的变化来判断按键是否发生了边沿信号。边沿检测可以分为上升沿检测和下降沿检测。当按键从低电平变为高电平时,称为上升沿;当按键从高电平变为低电平时,称为下降沿。通过检测这些边沿信号,可以确定按键的按下和释放动作。 因此,在Verilog实现按键消抖的关键步骤包括: 1. 添加合适的延迟,通常为几毫秒的时间。这可以通过使用计数器或者延时模块来实现。 2. 在延迟前后对按键的输出电平进行检测,判断边沿信号的变化。 3. 根据边沿信号的变化来确定按键的按下和释放动作,进而实现按键消抖。 综上所述,Verilog实现按键消抖的方法包括添加适当的延迟和使用边沿检测技术来判断按键的按下和释放动作。这样可以有效地滤除按键动,保证按键信号的稳定性和可靠性。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [Verilog按键消抖检测实现](https://blog.csdn.net/CLL_caicai/article/details/105159165)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值