用Verilog HDL设计曼切斯特编码器

用Verilog HDL设计曼切斯特编码器
曼切斯特码:为一种时钟同步的编码技术,被物理层用来同步一个位流的时钟和数据,编码的规则为用01两位码表示0码,用10两位码表示1,用一个周期的正负对称方波来表0,反相波形为1;
E.G.Thomas规定1为高跳变到底,0为底跳变到高。
IEEE802.4规定1为低跳变到高,0为高跳变到低。`
参考https://blog.csdn.net/qq_41844618/article/details/107569177


// 10代表0,01代表1
// clk是 曼切斯特的两倍频率
module manqie(
input clk,
input rst_n,
input data,
output dataout);

reg start; 
reg r0
always@(posedge clk or negedge rst_n)
if(!rst_n)
	r0<=0;
else r0<=data;

always@(posedge clk or negedge rst_n)
if(!rst_n)
	start<=0;
else if(r0^data)
	start<=1;
	
reg flag;
always@(posedge clk or negedge rst_n)
if(!rst_n)
	flag<=1;
if(start)
	flag<=~flag;

assign dataout= start ? flag^data : 0 ;


endmodule

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值