UVM实战读书笔记 -run_test的作用是什么?创建实例和启动平台

创建实例


根据一个字符串创建类的实例,无论传递给run_test的参数是什么, 创建的实例的名字都为uvm_test_top。

根据类名创建一个类的实例, 这是uvm_component_utils宏所带来的效果, 同时也是factory机制给读者的最初印象。 只有在类
定义时声明了这个宏, 才能使用这个功能。 所以从某种程度上来说, 这个宏起到了注册的作用。 只有经过注册的类, 才能使用这个功能, 否则根本不能使用。 请记住一点: 所有派生自uvm_component及其派生类的类都应该使用uvm_component_utils宏注册。
 

实现方式

除了在tb中更该run_test传入的参数,UVM提供对不加参数的run_test的支持:UVM会利用UVM_TEST_NAME从命令行中寻找测试用例的名字, 创建它的实例并运行。

启动平台

 

以上笔记摘自张强《UVM实战》

  • 5
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值