Verdi显示状态机的名称

本文介绍了如何在Verdi软件中使用工具功能提取交互式FSM(FiniteStateMachine)状态,包括通过FirstState或AllStages选项展开状态,以及在waveform窗口中编辑alias来简化操作。
摘要由CSDN通过智能技术生成

在verdi的顶层菜单窗口,选择tools-->Extract Interactive FSM,如下图

选择First State或者All Stages皆可,区别是First State仅展开目前的所指定的FSM state,

All Stages将展开所有的FSM states。

或者在waveform窗口中选择waveform菜单 --> Signal Value Radix --> Edit Alias... 编辑alias即可以达到我们的目的,但前者更简洁。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值